Die Suche ergab 1485 Treffer

von davidvajda.de
Di Apr 02, 2024 11:26 am
Forum: informatik
Thema: Das neue Auswendig lernen und die neuen Übungen - 0003
Antworten: 35
Zugriffe: 3621

Re: Das neue Auswendig lernen und die neuen Übungen - 0003

Das hier sitzt 100% Ich schreibe, jetzt alles, was ich weiss, was ich nicht wusste, hinterher Kante des Mealy Automaten Kante des Mealy Automaten ist mit i/o markiert Kante des Mealy Automaten führt von Zustand Za nach Zustand Zb Kante des Mealy Automaten, die mit i/o markiert ist führt von Zustand...