Das neue Auswendig lernen und die neuen Übungen - 0003

Benutzeravatar
davidvajda.de
Site Admin
Beiträge: 1482
Registriert: Di Jul 18, 2023 8:36 pm
Wohnort: D-72072, Tübingen
Kontaktdaten:

Re: Das neue Auswendig lernen und die neuen Übungen - 0003

Beitrag von davidvajda.de »

Code: Alles auswählen

CE Chip Enable
OE Output Enable
WE Write Enable

CS Chip Select

I/!O
I/!O
I/!O
I/!O
I/!O
I/!O

R/!W
R/!W
R/!W
R/!W
R/!W

I/!O
R/!W

I/!O
R/!W

I/!O
R/!W

I/!O
R/!W

Input/!Output
Input/!Output
Input/!Output
Input/!Output

Read/!Write
Read/!Write
Read/!Write

I/!O
R/!W

Read/!Write
Input/!Output

Read/!Write
Input/!Output

CE Chip Enable
OE Output Enable
WE Write Enable

CS Chip Select

I/!O
R/!W

Input/!Output
Read/!write

Batronix BX32P Barlino 2
Batronix BX32P Barlino 2
Batronix BX32P Barlino 2
Batronix BX32P Barlino 2

Speicherorganisation
Speicherorganisation
Speicherorganisation
Speicherorganisation

Speicher bestehen aus vielen Speicherlementen, die in der Regel in einer quadratischen Speichermatrix angeordnet sind
Speicher bestehen aus vielen speicherelemente, die in der Regel in einer quadratischen Speichermatrix angeordnet sind
Speicher bestehen aus vielen Speicherlementen, die in der Regel in einer quadratischen Speichermatrix angeordnet sind

CE - Chip Enable
OE - Output Enable
WE - Write Enable

CS - Chip Select

I/!O
R/!W

Input/!Output
Read/!Write

Eingabe/!Ausgabe
Lesen/!Schreiben

Speichermatrix
Eine Speichermatrix besteht in der Regel aus vielen Speicherlemente, die in der Regel in einer quadratischen Speichermatrix aangeordnent sind

Batronix BX32P Barlino

16-Bit-ROM Speicher
4 x 4 Speichermatrix

16-Bit Rom Speicher
4 x 4 Speichermatrix

16-Bit ROM Speicher
4x4 Speichermatrix

16-Bit ROM Speicher
4x4 Speichermatrix

16-Bit ROM Speicher
4x4 Speichermatrix

CE - Chip Enable
OE - Output Enable
WE - write Enable

CS - Chip Select

I/!O
R/!W

Input/!Ouptut
Read/!Write

Lesen/!Schreiben
Eingabe/!Ausgabe

Batronix BX32P Barlino II

Speichermatrix
Ein Speicher besteht in der Regel aus vielen Speicherlementen, die in der Regel in einer Speichermatrix angeordnet sind

16-Bit ROM Speicher
4x4 Speichermatrix

16-Bit ROM Speicher
4x4 Speichermatrix

16-Bit ROM Speicher
4x4 Speichermatrix

Meist FET Transistoren
Zur Veranschaulichung mechanische Kontakte

Meist FET Transistoren
Zur Veranschaulichung mechanische Kontakte

Meist FET Transistoren
Zur Veranschaulichung mechanische Kontakte

Meist FET Transistoren
Zur Veranschaulichung mechanische Kontakte

CE - Chip Enable
OE - Output Enable
WE - Write Enable

CS - Chip Select

I/!O
Input/!Output
Eingabe/!Ausgabe

R/!W
Lesen/!Schreiben
Read/!Write

Batronix BX32P Barlino II

16 Bit ROM Speicher
4x4 Speichermatrix

Meist FET Transistoren
Zur Veranschaulichung mechanische Kontakte

Datenwortbreite 1 Bit
4 Zeilen
4 Spalten

Datenwortbreite 1 Bit
4 Zeilen
4 Spalten

Datenwortbreite 1 Bit
4 Zeilen
4 Spalten

Datenwortbreite 1 Bit
4 Zeilen
4 Spalten

Datenwortbreite 1 Bit
4 Zeilen
4 Spalten

2 Addressleitungen: A1 und A0, Zeilendekoder
2 Addressleitungen:

2 Addresseleitungen: A1 und A0, Zeilendekoder
2 Addressleitungen

2 Addressleitungen, A1 und A0, Zeilendekoder
2 Addressleitungen

Addressleitung
Wortleitung

Addressleitung
Wortleitung

addressleitung
Wortleitung

Addressleitung
Wortleitung

Addressleitung
Wortleitung

Addressleitung
Wortleitung

Addressleitung
Wortleitung

Zeilendekoder
Spaltendekoder

Zeilendekoder
Spaltendekoder

Zeilendekoder
Spaltendekoder

zeilendekoder
Spaltendekoder

addressleitung
Wortleitung

Zeilendekoder
Spaltendekoder

Zeilenleitung
Spaltenleitung

Zeilenleitung
Spaltenleitung

Zeilenleitung
Spaltenleitung

Elemente E15 bis E0
Elemente E15 bis E0

Code: Alles auswählen

Jetzt kurz Pause, dann kommt noch ein Teil

Programmtechniken für AVR-Mikrocontroller Manfred Schwable Schmidt
Programmtechniken für AVR-Mikrocontroller Manfred Schwabel Schmidt
Programmtechniken für AVR-Mikrocontroller Manfred Schwabel Schmidt

CS - Chip Select
CE - chip Enable
OE - Output Enable
WE - Write Enable

I/!O
R/!W

Input/!Output
Read/!Write

Eingabe/!Ausgabe
Lese/!schreiben

16 Bit ROM Speicher
4x4 Speichermatrix

Speichermatrix
Ein Speicher besteht in der Regel aus Speicherelementen, die in einer quadratischen Speichermatrix angeordnet sind

Batronix BX32P Barlino II

Addressleitung
Wortleitung
Zeilendekoder
Spaltendekoder
Zeilenleitug
Spaltenleitung

Jetzt kommt ein Teil, den kann ich nicht veröffentlich, kein Allgemeinwissen

Code: Alles auswählen

Rodnay Zacks
Rodnay Zacks
Rodnay Zacks
Rodnay Zacks
Rodnay Zacks
Rodnay Zacks

How 2 programm the Z80
How 2 Programm the Z80
How 2 Programm the Z8ß

Denial le noury
Denial le noury
Denial le noury

Rodnay Zacks
Radio Shack
How to Programm the Z80

Rodnay Zacks
Radio Shacks
How to Programm the Z80

Rodnay Zacks
Radio Shaks
How to PRogram the Z80

Barlow
Barlow
Barlow
Barlow

O.M. Barlow
O.M. Barlow
O.M. Barlow

Feick
Feick
Feick
Feick
Feick
Feick

Barlow
Feick

Barlow
Feick

Barlow
Feick

Reid
Erwin

Rodnay Zacks
O.M. Barlow
Denial le Noury
Feick

Reid
Erwin

Reid
Erwin

Code: Alles auswählen

<?php
session_start ();
?>

<form method="POST" action="./form20240323.php">
<input type="text" name="form20240323a"></input>
<input type="submit">
</form>

<?php
echo session_id ();

setcookie ("form20240323b", "Hallo, ich bin das erste Cookie", time () + 3600);

echo htmlentities ($_POST ["form20240323a"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240323b"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240323c"]) . "<br>\n";

session_destroy ();
?>

Code: Alles auswählen

POST http://localhost/mysql20240217/20240324/form20240323.php HTTP/1.1
host: localhost
Cookie: form20240323c=Hallo, ich bin 2
Content-Length: 38
Content-Type: application/x-www-form-urlencoded

form20240323a=Ich bin das Datum, Nr. 1

Code: Alles auswählen

Trying ::1...
Connected to localhost.
Escape character is '^]'.
HTTP/1.1 200 OK
Date: Sat, 23 Mar 2024 17:29:41 GMT
Server: Apache/2.4.57 (Debian)
Set-Cookie: PHPSESSID=jqt36ivv6cl7382n7g5ih6llkq; path=/
Expires: Thu, 19 Nov 1981 08:52:00 GMT
Cache-Control: no-store, no-cache, must-revalidate
Pragma: no-cache
Set-Cookie: form20240323b=Hallo%2C%20ich%20bin%20das%20erste%20Cookie; expires=Sat, 23 Mar 2024 18:29:41 GMT; Max-Age=3600
Vary: Accept-Encoding
Content-Length: 211
Content-Type: text/html; charset=UTF-8


<form method="POST" action="./form20240323.php">
<input type="text" name="form20240323a"></input>
<input type="submit">
</form>

jqt36ivv6cl7382n7g5ih6llkqIch bin das Datum, Nr. 1<br>
<br>
Hallo, ich bin 2<br>

Code: Alles auswählen

<?php
session_start ();

include ("/home/david/mysqldata.php");

$db = new PDO ("mysql: host=localhost", $MYSQL_USER, $MYSQL_PASSWORD);

$sql = "CREATE DATABASE mysql20240323" . session_id () . "; ";
$db->query ($sql);

$sql = "USE mysql20240323" . session_id () . "; ";
$db->query ($sql);

$sql = "CREATE TABLE a (x1 INTEGER, x2 INTEGER); CREATE TABLE b (y1 INTEGER, y2 INTEGER); ";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (0, 0); ";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (0, 1); INSERT INTO a (x1, x2) VALUES (1, 0); INSERT INTO a (x1, x2) VALUES (1, 1); INSERT INTO b (y1, y2) VALUES (0, 1); INSERT INTO b (y1, y2) VALUES (1, 0); INSERT INTO b (y1, y2) VALUES (2, 7); INSERT INTO a (x1, x2) VALUES (2, 7); ";
$db->query ($sql);

$sql = "SELECT x1, x2 FROM a; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . ",";
echo "<br>\n";

$sql = "SELECT y1, y2 FROM b; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . ", ";
echo "<br>\n";

$sql = "SELECT x1, x2, y1, y2 FROM a INNER JOIN b ON a.x1 = b.y1; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . ", " . $row [2] . ", " . $row [3] . "; ";
echo "<br>\n";

$sql = "DROP DATABASE mysql20240323" . session_id () . "; ";
$db->query ($sql);

session_destroy ();
?>

Code: Alles auswählen

0, 0,0, 1,1, 0,1, 1,2, 7,<br>
0, 1, 1, 0, 2, 7, <br>
0, 0, 0, 1; 0, 1, 0, 1; 1, 0, 1, 0; 1, 1, 1, 0; 2, 7, 2, 7; <br>

Code: Alles auswählen

<?php
session_start ();

include ("/home/david/mysqldata.php");

$db = new PDO ("mysql: host=localhost", $MYSQL_USER, $MYSQL_PASSWORD);

$sql = "CREATE DATABASE quantity20240323" . session_id () . "; ";
$db->query ($sql);


$sql = "USE quantity20240323" . session_id () . "; ";
$db->query ($sql);

$sql = "CREATE TABLE a (x INTEGER); CREATE TABLE b (x INTEGER); CREATE TABLE c (x INTEGER); ";
$db->query ($sql);

for ($i = 0;  $i < 24;  $i++) {
    $sql = "INSERT INTO a (x) VALUES (" . rand () % 32 . "); ";
    $sql .= "INSERT INTO b (x) VALUES (" . rand () % 64 . "); ";
    $sql .= "INSERT INTO c (x) VALUES (" . rand () % 48 . "); ";
    $db->query ($sql);
}

$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x
                INTERSECT
            SELECT x FROM c
    ) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x
                UNION
            SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x
    ) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x
                INTERSECT
            SELECT x FROM b
    ) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x
                UNION
            SELECT x FROM (SELECT x FROM c INTERSECT SELECT x FROM c) x
    ) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x
                INTERSECT
            SELECT x FROM a
    ) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x
                UNION
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x
    ) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "DROP DATABASE quantity20240323" . session_id () . "; ";
$db->query ($sql);

session_destroy ();
?>

Code: Alles auswählen

24, 7, 21, 18, 29, 16, 20, 11, 8, 9, 26, 15, 13, 23, 0, 12, 10, 51, 55, 32, 54, 49, 60, 17, 58, 42, 1, 50, 57, 2, 43, <br>
24, 7, 21, 18, 29, 16, 20, 11, 8, 9, 26, 15, 13, 23, 0, 12, 10, 30, 35, 2, 47, 46, 5, 45, 27, 6, 33, 40, 17, 25, <br>
15, 51, 55, 32, 54, 49, 29, 16, 60, 17, 21, 58, 42, 1, 24, 50, 57, 2, 43, 26, 11, 30, 35, 47, 46, 5, 18, 45, 27, 6, 33, 40, 7, 25, <br>
24, 21, 29, 16, 26, 15, <br>
24, 7, 18, 16, 11, 26, <br>
16, 17, 24, 2, 26, <br>
24, 7, 18, 16, 11, 26, 17, 2, <br>
24, 7, 18, 16, 11, 26, 17, 2, <br>
24, 21, 29, 16, 26, 15, 2, 17, <br>
24, 21, 29, 16, 26, 15, 11, 30, 35, 2, 47, 46, 5, 18, 45, 27, 6, 33, 40, 7, 17, 25, <br>
15, 29, 16, 21, 24, 26, 11, 18, 7, <br>
24, 21, 29, 16, 26, 15, 7, 18, 11, <br>

Code: Alles auswählen

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    0
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    1
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    1
10 1 0 1 0    0
11 1 0 1 1    0
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    0


 0 0 0 0 0    1
 1 0 0 0 1    1
 5 0 1 0 1    1
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    1
12 1 1 0 0    1


Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 8 1 0 0 0    1
Gruppe 2:
 5 0 1 0 1    1
 9 1 0 0 1    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1

0:1         0 0 0 -
0:8         - 0 0 0
1:5         0 - 0 1
1:9         - 0 0 1
1:9         1 0 0 -
1:12        1 - 0 0
5:7         0 1 - 1


0:1         0 0 0 -
1:9         1 0 0 -
5:7         0 1 - 1
1:5         0 - 0 1
1:12        1 - 0 0
0:8         - 0 0 0
1:9         - 0 0 1


Gruppe 0:
0:1         0 0 0 -
Gruppe 1:
1:9         1 0 0 -


5:7         0 1 - 1

Gruppe 1:
1:5         0 - 0 1
1:12        1 - 0 0

Gruppe 0:
0:8         - 0 0 0
Gruppe 1:
1:9         - 0 0 1



Gruppe 0:
0:1         0 0 0 -
Gruppe 1:
1:9         1 0 0 -

0:1:1:9         - 0 0 -


5:7             0 1 - 1

Gruppe 1:
1:5             0 - 0 1
1:12            1 - 0 0



0:8:1:9         - 0 0 -
0:1:1:9         - 0 0 -
5:7             0 1 - 1
1:5             0 - 0 1
1:12            1 - 0 0
0:8:1:9         - 0 0 -


0:8:1:9         - 0 0 -
0:1:1:9         - 0 0 -
5:7             0 1 - 1
1:5             0 - 0 1
1:12            1 - 0 0

                0   1   5   7   8   9   12
0:8:1:9         *   *           *   *
0:1:1:9         *   *               *
5:7                     *   *
1:5                  *  *
1:12                 *                  *


                0   1   5   7   8   9   12
0:8:1:9         *   *           *   *
5:7                     *   *
1:12                 *                  *


0:8:1:9         - 0 0 -
5:7             0 1 - 1
1:12            1 - 0 0

    y <= (not x2 and not x1) or
            (not x3 and x2 and x0) or
            (x3 and not x1 and not x0);
    y <= not (
            (x2 or x1) and
            (x3 or not x2 or not x0) and
            (not x3 or x1 or x0)
        );

library ieee;
use ieee.std_logic_1164.all;

entity quine20240323 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture behaviour of quine20240323 is
begin
    y <= (not x2 and not x1) or
            (not x3 and x2 and x0) or
            (x3 and not x1 and not x0);

end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20240323testbench is
port (
    y: out std_logic
);
end;

architecture behaviour of quine20240323testbench is
    component quine20240323
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    q: quine20240323 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);
x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns;

x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '1' after 100 ns, '1' after 110 ns, '0' after 120 ns, '0' after 130 ns, '1' after 140 ns, '1' after 150 ns;

x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '0' after 100 ns, '0' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

x3 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '0' after 40 ns, '0' after 50 ns, '0' after 60 ns, '0' after 70 ns, '1' after 80 ns, '1' after 90 ns, '1' after 100 ns, '1' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

end;

Code: Alles auswählen

library ieee;
use ieee.std_logic_1164.all;

entity quine20240323 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture behaviour of quine20240323 is
begin
    y <= (not x2 and not x1) or
            (not x3 and x2 and x0) or
            (x3 and not x1 and not x0);

end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20240323testbench is
port (
    y: out std_logic
);
end;

architecture behaviour of quine20240323testbench is
    component quine20240323
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    q: quine20240323 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);
x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns;

x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '1' after 100 ns, '1' after 110 ns, '0' after 120 ns, '0' after 130 ns, '1' after 140 ns, '1' after 150 ns;

x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '0' after 100 ns, '0' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

x3 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '0' after 40 ns, '0' after 50 ns, '0' after 60 ns, '0' after 70 ns, '1' after 80 ns, '1' after 90 ns, '1' after 100 ns, '1' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

end;
Bild
Antworten