Das neue Auswendig lernen und die neuen Übungen - 0003

Benutzeravatar
davidvajda.de
Site Admin
Beiträge: 1486
Registriert: Di Jul 18, 2023 8:36 pm
Wohnort: D-72072, Tübingen
Kontaktdaten:

Re: Das neue Auswendig lernen und die neuen Übungen - 0003

Beitrag von davidvajda.de »

So, ich verschalte heute meinen Zilog Z80, mit 084 PIO. Das wird relativ einfach - weil ich habe ja steckboards und jetzt genügend kabel, zum Rein stecken. Das meiste an der Schaltung ist Bus. Das heisst, parallel liegende Pins. da kann man nicht viel falsch machen, und wenn, kann man beim Steckboard, die Kabel neu setzen. Bleibt noch die Programmierung des EEPROM, aber das ist easy

Jetzt die allmorgendlichen Aufgaben. So, ich verschalte heute meinen Zilog Z80, mit 084 PIO. Das wird relativ einfach - weil ich habe ja steckboards und jetzt genügend kabel, zum Rein stecken. Das meiste an der Schaltung ist Bus. Das heisst, parallel liegende Pins. da kann man nicht viel falsch machen, und wenn, kann man beim Steckboard, die Kabel neu setzen. Bleibt noch die Programmierung des EEPROM, aber das ist easy

Jetzt die allmorgendlichen Aufgaben.

Bild

Code: Alles auswählen

<?php
session_start ();
?>

<form method="POST" action="./form20240415.php">
<input type="text" name="form20240415a"></input>
<input type="submit">
</form>

<?php
echo "Wir lassen weiterhin nichts b&ouml;ses in unser Herz <br>\n";
echo session_id () . "<br>\n";

setcookie ("form20240415b", "Dies ist Cookie", time () + 2400);

echo htmlentities ($_POST ["form20240415a"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240415b"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240415c"]) . "<br>\n";

session_destroy ();
?>

Code: Alles auswählen

POST http://localhost/mysql20240217/20240415/form20240415.php HTTP/1.1
host: localhost
Cookie: form20240415c=Hallo, ich bin Keks Alternative
Content-Length: 34
Content-Type: application/x-www-form-urlencoded

form20240415a=Und ich bin das Date

Code: Alles auswählen

Trying ::1...
Connected to localhost.
Escape character is '^]'.
HTTP/1.1 200 OK
Date: Mon, 15 Apr 2024 10:11:25 GMT
Server: Apache/2.4.57 (Debian)
Set-Cookie: PHPSESSID=fvgf1n68bl74d4s7t4cn7elvta; path=/
Expires: Thu, 19 Nov 1981 08:52:00 GMT
Cache-Control: no-store, no-cache, must-revalidate
Pragma: no-cache
Set-Cookie: form20240415b=Dies%20ist%20Cookie; expires=Mon, 15 Apr 2024 10:51:25 GMT; Max-Age=2400
Vary: Accept-Encoding
Content-Length: 285
Content-Type: text/html; charset=UTF-8


<form method="POST" action="./form20240415.php">
<input type="text" name="form20240415a"></input>
<input type="submit">
</form>

Wir lassen weiterhin nichts b&ouml;ses in unser Herz <br>
fvgf1n68bl74d4s7t4cn7elvta<br>
Und ich bin das Date<br>
<br>
Hallo, ich bin Keks Alternative<br>

Code: Alles auswählen

<?php
session_start ();

include  ("/home/david/mysqldata.php");

$db = new PDO ("mysql: host=localhost", $MYSQL_USER, $MYSQL_PASSWORD);

$sql = "CREATE DATABASE mysql20240415" . session_id () . "; ";
$db->query ($sql);

$sql = "USE mysql20240415" . session_id () . "; ";
$db->query ($sql);

$sql = "CREATE TABLE a (x1 INTEGER, x2 INTEGER); CREATE TABLE b (y1 INTEGER, y2 INTEGER); ";
$db->query ($sql);

$sql = "INSERT INTO a (x2, x1) VALUES (0, 0); INSERT INTO a (x2, x1) VALUES (1, 0); INSERT INTO a (x2, x1) VALUES (0, 1); INSERT INTO a (x2, x1) VALUES (1, 1); INSERT INTO a (x2, x1) VALUES (7, 2); INSERT INTO b (y1, y2) VALUES (0, 1); INSERT INTO b (y1, y2) VALUES (1, 0); INSERT INTO b (y1, y2) VALUES (2, 7); ";
$db->query ($sql);

$sql = "SELECT x1, x2 FROM a; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . "; ";
echo "<br>\n";

$sql = "SELECT y1, y2 FROM b; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . "; ";
echo "<br>\n";

$sql = "SELECT x1, x2, y1, y2 FROM a INNER JOIN b ON a.x1 = b.y1; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . ", " . $row [2] . ", " . $row [3] . "; ";
echo "<br>\n";


$sql = "DROP DATABASE mysql20240415" . session_id () . "; ";
$db->query ($sql);

session_destroy ();
?>

Code: Alles auswählen

0, 0; 0, 1; 1, 0; 1, 1; 2, 7; <br>
0, 1; 1, 0; 2, 7; <br>
0, 0, 0, 1; 0, 1, 0, 1; 1, 0, 1, 0; 1, 1, 1, 0; 2, 7, 2, 7; <br>

Code: Alles auswählen

<?php
session_start ();

include ("/home/david/mysqldata.php");

$db = new PDO ("mysql: host=localhost", $MYSQL_USER, $MYSQL_PASSWORD);

$sql = "CREATE DATABASE quantity20240415" . session_id () . "; ";
$db->query ($sql);

$sql = "USE quantity20240415" . session_id () . "; ";
$db->query ($sql);

$sql = "CREATE TABLE a (x INTEGER); CREATE TABLE b (x INTEGER); CREATE TABLE c (x INTEGER); ";
$db->query ($sql);

for ($i = 0;  $i < 24;  $i++) {
    $sql = "INSERT INTO a (x) VALUES (" . rand () % 32 . "); ";
    $sql .= "INSERT INTO b (x) VALUES (" . rand () % 64 . "); ";
    $sql .= "INSERT INTO c (x) VALUES (" . rand () % 128 . "); ";
    $db->query ($sql);
}

$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x
                INTERSECT
            SELECT x FROM c
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x
                UNION
            SELECT x FROM (SELECT x FROM c INTERSECT SELECT x FROM b) x
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x
                INTERSECT
            SELECT x FROM b
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x
                UNION
            SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x
                INTERSECT
            SELECT x FROM a
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM c INTERSECT SELECT x FROM a) x
                UNION
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo ";<br>\n";

$sql = "DROP DATABASE quantity20240415" . session_id () . "; ";
$db->query ($sql);

session_destroy ();
?>

Code: Alles auswählen

23, 8, 25, 4, 10, 29, 18, 11, 13, 22, 20, 5, 31, 21, 6, 0, 30, 12, 14, 46, 38, 51, 1, 43, 15, 59, 17, 42, 36, 52, 61, 32, 39, 49, 45, ;<br>
23, 8, 25, 4, 10, 29, 18, 11, 13, 22, 20, 5, 31, 21, 6, 0, 30, 12, 14, 108, 93, 74, 84, 125, 26, 38, 73, 103, 32, 105, 67, 55, 95, 39, 92, 63, 72, 28, 64, ;<br>
12, 25, 46, 38, 51, 1, 43, 15, 59, 17, 42, 31, 36, 29, 52, 61, 32, 39, 49, 45, 13, 108, 93, 74, 84, 125, 26, 73, 103, 105, 18, 67, 55, 95, 0, 92, 63, 72, 4, 28, 64, ;<br>
25, 29, 13, 31, 12, ;<br>
4, 29, 18, 0, ;<br>
38, 29, 32, 39, ;<br>
0, 4, 18, 29, 32, 38, 39, ;<br>
0, 4, 18, 29, 32, 38, 39, ;<br>
12, 13, 25, 29, 31, 32, 38, 39, ;<br>
12, 13, 25, 29, 31, 32, 38, 39, ;<br>
0, 4, 12, 13, 18, 25, 29, 31, ;<br>
0, 4, 12, 13, 18, 25, 29, 31, ;<br>
Ich mache heute noch Aufgaben, ich werde heute etwas länger wach bleiben, ich mache dafür länger Aufgaben - ich werde auch noch auswendig lernen und für Mathematik lernen
Das werde ich auch tun. Und ich werde damit beginnen, die Schaltung mit dem Zilog Z80 zu bauen. Ich werde sie einfach zusammen stecken

Jetzt, die Aufgaben, wo ich heute stehen geblieben bin.

Spazieren gehen ist normal

wie gesagt, ich lasse nichts negatives rein

Code: Alles auswählen

1.) Rechne die Zahl in binaer Darstellung  in eine Dezimale Darstellung um
1011011110111111b 47039d
2.) Rechne die Zahl in dezimal darstellung in eine Binaerdarstellung um
1163 0000010010001011
3.) Addiere die drei Zahlen schriftlich
            12007
+             749
+           58628
-----------------
            71384
4.) Subtrahiere die letzten drei Zahlen schriftlich von der ersten schriftlich
            12116
-            8025
-            5853
-            5289
-----------------
            -7051
5.) Rechne die Zahl ins zweier komplement um, mit 8 Bit - und subtrahiere diese zahl von der ersten und rechne das Ergebnis nach dezimal
85 -35 = 50
01010101 11011101 = 00110010
6.) Multipliziere die zwei Zahlen schriftlich
10547*2896 = 30544112
7.) Dividiere die zwei Zahlen schriftlich
33909/43570 = 0
8.) Errechne x Logarithmisch mit dem Taschenrechner
31210^x = 659784529
Rechne die Zahl in IEEE-754 um 16718.492188
Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Bild

Code: Alles auswählen

global      _start
section     .data
            ToSrtArray:     db      "hajdasdahdsjadsj", 0x00
            ToSrtArrayLen:  equ     $-ToSrtArray
section     .text
            _start:

            mov esi, ToSrtArray
            loop1:
                mov ah, [esi]
                cmp ah, 0x00
                je loop1end
                mov edi, esi
                loop2:
                    inc edi
                    mov al, [edi]
                    cmp al, 0x00
                    je loop2end
                    mov ah, [esi]
                    mov al, [edi]
                    cmp ah, al
                    jle goon
                    mov ah, [esi]
                    mov al, [edi]
                    mov [esi], al
                    mov [edi], ah
                    goon:
                    jmp loop2
                loop2end:
                inc esi
                jmp loop1
            loop1end:

    mov edx, ToSrtArrayLen
    mov ecx, ToSrtArray
    mov ebx, 1
    mov eax, 4
    int 0x80

    mov ebx, 0
    mov eax, 1
    int 0x80

Code: Alles auswählen

assemble:
	nasm -f elf64 -g asm20240415.asm
link:
	ld -m elf_x86_64 -g asm20240415.o -o asm20240415
run:
	./asm20240415

Code: Alles auswählen

david@laptop-peaq:~$ make
nasm -f elf64 -g asm20240415.asm
david@laptop-peaq:~$ make link
ld -m elf_x86_64 -g asm20240415.o -o asm20240415
david@laptop-peaq:~$ make run
./asm20240415
aaaaddddhhjjjsssdavid@laptop-peaq:~$ 
Bild

Code: Alles auswählen

 0 0 0 0 0    0
 1 0 0 0 1    0
 2 0 0 1 0    0
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    1
 6 0 1 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    1
10 1 0 1 0    1
11 1 0 1 1    0
12 1 1 0 0    0
13 1 1 0 1    1
14 1 1 1 0    1
15 1 1 1 1    0


 5 0 1 0 1    1
 6 0 1 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    1
10 1 0 1 0    1
13 1 1 0 1    1
14 1 1 1 0    1


Gruppe 1:
 8 1 0 0 0    1
Gruppe 2:
 5 0 1 0 1    1
 6 0 1 1 0    1
 9 1 0 0 1    1
10 1 0 1 0    1
Gruppe 3:
 7 0 1 1 1    1
13 1 1 0 1    1
14 1 1 1 0    1

8:9         1 0 0 -
8:10        1 0 - 0
5:7         0 1 - 1
5:13        - 1 0 1
6:7         0 1 1 -
6:14        - 1 1 0
9:13        1 - 0 1
10_14       1 - 1 0


8:9         1 0 0 -
6:7         0 1 1 -
8:10        1 0 - 0
5:7         0 1 - 1
9:13        1 - 0 1
10_14       1 - 1 0
5:13        - 1 0 1
6:14        - 1 1 0



Gruppe 1:
8:9         1 0 0 -
Gruppe 2:
6:7         0 1 1 -

Gruppe 1:
8:10        1 0 - 0
Gruppe 2:
5:7         0 1 - 1

Gruppe 2:
9:13        1 - 0 1
10_14       1 - 1 0

Gruppe 2:
5:13        - 1 0 1
6:14        - 1 1 0



8:9         1 0 0 -
6:7         0 1 1 -
8:10        1 0 - 0
5:7         0 1 - 1
9:13        1 - 0 1
10_14       1 - 1 0
5:13        - 1 0 1
6:14        - 1 1 0


                5   6   7   8   9   10  13  14
8:9                         *   *
6:7                 *   *
8:10                        *       *
5:7             *       *
9:13                            *       *
10_14                               *       *
5:13            *                       *
6:14                *                       *




                5   6   7   8   9   10  13  14
8:9                         *   *                       K
6:7                 *   *                               K
8:10                        *       *                   K
5:7             *       *                               K
9:13                            *       *               K
10_14                               *       *           K
5:13            *                       *               K
6:14                *                       *           K


                5   6   7   8   9   10  13  14
6:7                 *   *
8:10                        *       *
5:7             *       *
9:13                            *       *
10_14                               *       *



6:7         0 1 1 -
8:10        1 0 - 0
5:7         0 1 - 1
9:13        1 - 0 1
10_14       1 - 1 0


    y <=    (not x3 and x2 and x1) or
            (x3 and not x2 and not x0) or
            (not x3 and x2 and x0) or
            (x3 and not x1 and x0) or
            (x3 and x1 and not x0);

library ieee;
use ieee.std_logic_1164.all;

entity quine20240415 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture behaviour of quine20240415 is
begin
    y <=    (not x3 and x2 and x1) or
            (x3 and not x2 and not x0) or
            (not x3 and x2 and x0) or
            (x3 and not x1 and x0) or
            (x3 and x1 and not x0);
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20240415testbench is
port (
    y: out std_logic
);
end;

architecture behaviour of quine20240415testbench is
    component quine20240415
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    q: quine20240415 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);


Code: Alles auswählen

library ieee;
use ieee.std_logic_1164.all;

entity quine20240415 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture behaviour of quine20240415 is
begin
    y <=    (not x3 and x2 and x1) or
            (x3 and not x2 and not x0) or
            (not x3 and x2 and x0) or
            (x3 and not x1 and x0) or
            (x3 and x1 and not x0);
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20240415testbench is
port (
    y: out std_logic
);
end;

architecture behaviour of quine20240415testbench is
    component quine20240415
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    q: quine20240415 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);


    x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns;

    x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '1' after 100 ns, '1' after 110 ns, '0' after 120 ns, '0' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '0' after 100 ns, '0' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x3 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '0' after 40 ns, '0' after 50 ns, '0' after 60 ns, '0' after 70 ns, '1' after 80 ns, '1' after 90 ns, '1' after 100 ns, '1' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;
end;
Nebenbei

Code: Alles auswählen

Das Minix wird ihnen stark helfen Linux zu verstehen - ich sage mal so - Minix verwendet bei Alloc eine simple Freispeicherliste - die MMU ist mit CR3 Controllerregistern ... nicht schwer zu verwenden

Gut, ist sie lernen, das Disketten Laufwerk an zu steuern. Aber noch viel mehr. Sie lernen den IBM PC kennen

Da geht es um

Enhanced Graphics Adapter
Color Graphics Adapter (40x25, 80x25)
Professional Graphics Controller 
Monochrome

Das weiss ich übrigens nicht wegen Minix, sondern wegen meinem IBM PC 5162.

Sie müssten das für ihre graphikkarte kennen. Die hat ausser einen RAMDAC und einem Adapter - wie bei Enhanced Graphics Adapter, ausserdem noch einen Prozessor - die GPU 

Und das ist ein Thema, das betrifft Linux. Ich empfehle Assembler lernen und VHDL

besonders VHDL - der Witz ist halt dass sie mit CUDA, da werde ich demnächst einsteigen, die GPU mit Assembler mehr oder weniger programmieren können

Und Linux ist mehr als einafch nur die MMU ansteuern. Es geht um Wayland, und OpenGL und so weiter

Inspirieren lasse ich mich immer wieder von linuxnews.de

Ich empfehle die Seite. Ich habe dort auch schon Anregungen bekommen, was mein system betrifft

Aber ich empfehle das alles nicht so böse zu nehmen. Weil - der Witz ist halt - ich lerne das Minix auswendig - das Linux - na ja, ich habe viele Bücher mit Kernel 2.2 und 2.4. Das lesen sie dann durch. Wenn sie Minix 1.0 auswendig kennen, von mir aus ohne Filesystem - aber, wenn sie das auswendig kennen. Dann wenden sie sich Linux zu. Und das muss nicht zu 100% sitzen

Ansonsten empfehle ich die software nicht immer nur von ihren Innenleben zu studieren

Ich empfehle CUDA und Assembler unanabhängig von Wayland aus zu probieren. Was sich empfiehlt die Software von oben her zu kennen

Die VHDL Übungen sind unbedingt notwendig

Und ich verspreche, der MIPS32, wird kommen. Ich bin halt gerade sehr hart in der Sache drin

ein einfacher MIPS32, in VHDL ist relativ einfach. Wir machen das! Versprochen

Aber so weiter machen, dann geht am Ende alles ganz rasant.
Bild

Code: Alles auswählen

Zustand		Folge-Zustand fuer	Ausgang
			x=0	x=1				
1			4	3				0
2			2	6				0
3			1	4				0
4			3	1				0
5			4	6				0
6			1	7				0
7			2	7				0
8			4	3				1


1			4	3				0
2			2	6				0
3			1	4				0
4			3	1				0
5			4	6				0
6			1	7				0
7			2	7				0

(1,2)		(4,2)		(3,6)
(1,3)		(4,1)		(3,4)
(1,4)		(4,3)		(3,1)
(1,5)		(4,4)		(3,6)
(1,6)		(4,1)		(3,7)
(1,7)		(4,2)		(3,7)
(2,3)		(2,1)		(6,4)
(2,4)		(2,3)		(6,1)
(2,5)		(2,4)		(6,6)
(2,6)		(2,1)		(6,7)
(2,7)		(2,2)		(6,7)
(3,4)		(1,3)		(4,1)
(3,5)		(1,4)		(4,6)
(3,6)		(1,1)		(4,7)
(3,7)		(1,2)		(4,7)
(3,5)		(1,4)		(4,6)
(3,6)		(1,1)		(4,7)
(4,5)		(3,4)		(1,6)
(4,6)		(3,1)		(1,7)
(4,7)		(3,2)		(1,7)
(5,6)		(4,1)		(6,7)
(5,7)		(4,2)		(6,7)
(6,7)		(1,2)		(7,7)


8			4	3				1


(1,2)		(2,4)		(3,6)
(1,3)		(1,4)		(3,4)
(1,4)		(3,4)		(1,3)
(1,5)		(3,6)
(1,6)		(1,4)		(3,7)
(1,7)		(2,4)		(3,7)
(2,3)		(1,2)		(4,6)
(2,4)		(2,3)		(1,6)
(2,5)		(2,4)
(2,6)		(1,2)		(6,7)
(2,7)		(6,7)
(3,4)		(1,3)		(1,4)
(3,5)		(1,4)		(4,6)
(3,6)		(4,7)
(3,7)		(1,2)		(4,7)
(3,5)		(1,4)		(4,6)
(3,6)		(4,7)
(4,5)		(3,4)		(1,6)
(4,6)		(1,3)		(1,7)
(4,7)		(2,3)		(1,7)
(5,6)		(1,4)		(6,7)
(5,7)		(2,4)		(6,7)
(6,7)		(1,2)


(1,2)		(2,4)		(3,6)
(1,3)		(1,4)		(3,4)
(1,4)		(3,4)		(1,3)
(1,5)		(3,6)
(1,6)		(1,4)		(3,7)
(1,7)		(2,4)		(3,7)
(2,3)		(1,2)		(4,6)
(2,4)		(2,3)		(1,6)
(2,5)		(2,4)
(2,6)		(1,2)		(6,7)
(2,7)		(6,7)
(3,4)		(1,3)		(1,4)
(3,5)		(1,4)		(4,6)
(3,6)		(4,7)
(3,7)		(1,2)		(4,7)
(3,5)		(1,4)		(4,6)
(3,6)		(4,7)
(4,5)		(3,4)		(1,6)
(4,6)		(1,3)		(1,7)
(4,7)		(2,3)		(1,7)
(5,6)		(1,4)		(6,7)
(5,7)		(2,4)		(6,7)
(6,7)		(1,2)

Gut hier müssen keine gestrichen werden, alle Zustände haben die Ausgabe 0 und enden in dem selben Szenario, d.h, wir brauchen nur:

8			3	3				1
3			3	3				0
Bild

https://www.ituenix.de/nextcloud/data/d ... 240415.tex

https://www.ituenix.de/nextcloud/data/d ... 240415.pdf

Bild
Antworten