Re: Aufgaben und Übungen,

-- So ist der VHDL Code richtig, da waren syntaktische Fehler drin - ueberprueft mit

-- ghdl -a ...

entity meinschaltnetz is
port
(
    x3, x2, x1, x0: in bit;
    y: out bit
);
end;

architecture verhalten of meinschaltnetz is
begin
    y <= (not x3 and x1) or
        (not x3 and x2 and not x0) or
        (x3 and not x1 and not x0) or
        (not x3 and x1) or
        (not x2 and x1 and x0);
end;

architecture verhaltendnf of meinschaltnetz is
begin
    y <= (x3 or not x1) and
        (x3 or not x2 or x0) and
        (not x3 or x1 or x0) and
        (x3 or not x1) and
        (x2 or not x1 or not x0);
end;