Re: Aufgaben und Übungen,

entity meinuebergangsschaltnetz is
port
(
	b, a: inout bit;
	x: in bit
);
end;

entity meinausgangsschaltnetz is
port
(
	b, a, x: in bit;
	y: out bit
);
end;

architecture dnfverhalten of meinuebergangsschaltnetz is
begin
	b <= (b or x) and
		(b or not a) and
		(not a or x);
	a <= (b or x) and
		(not b or a or not x) and
		(not a or x);
end;

architecture dnfverhalten of meinausgangsschaltnetz is
begin
	y <= (b or not a or x) and
		(not b or not x);
end;


architecture knfverhalten of meinuebergangsschaltnetz is
begin
	b <= (not b and not x) or
		(not b and a) or
		(a and not x);
	a <= (not b and not x) or
		(b and not a and x) or
		(a and not x);
end;

architecture knfverhalten of meinausgangsschaltnetz is
begin
	y <= (not b and a and not x) or
		(b and x);
end;