Re: Aufgaben und Übungen,

entity meinuebergangsschaltnetz0026 is
port
(
	a, b: inout bit;
	x: in bit
);
end;

entity meinausgangsschaltnetz0026 is
port
(
	a, b, x: in bit;
	y: out bit
);
end;

architecture verhaltenknf of meinuebergangsschaltnetz0026 is
begin
	b <= (not a and x) or
		(a and not x) or
		(b and x) or
		(b and a);
	a <= (not b and not a) or
		(b and not x);
end;

architecture verhaltendnf of meinuebergangsschaltnetz0026 is
begin
	b <= (a or not x) and
		(not a or x) and
		(not b or not x) and
		(not b or not a);
	a <= (b or a) and
		(not b or x);
end;

architecture verhaltenknf of meinausgangsschaltnetz0026 is
begin
	y <= (x) or (b and not a);
end;

architecture verhaltendnf of meinausgangsschaltnetz0026 is
begin
	y <= (not x) and (not b or a);
end;