Re: Aufgaben und Übungen,

entity meinuebergangsnetz0027 is
port
(
	a, b: inout bit;
	x: in bit
);
end;

entity meinausgangsschaltnetz0027 is
port
(
	a, b, x: in bit;
	y: out bit
);
end;

architecture verhaltendnf of meinuebergangsnetz0027 is
begin
	b <= (not a and not x) or
		(not b and x) or
		(b and not x);
	a <= (not b and not a and not x) or
		(not b and a and x) or
		(b and not a and x) or
		(b and a and not x);
end;

architecture verhaltenknf of meinuebergangsnetz0027 is
begin
	b <= (a or x) and
		(b or not x) and
		(not b and x);
	a <= (b or a or x) and
		(b or not a or not x) and
		(not b or a or not x) and
		(not b or not a or x);
end;

architecture verhaltendnf of meinausgangsschaltnetz0027 is
begin
	y <= (not b and x) or
		(b and not a and not x);
end;

architecture verhaltenknf of meinausgangsschaltnetz0027 is
begin
	y <= (b or not x) and
		(not b or a or x);
end;