Re: Aufgaben und Übungen,

"Ubersetzen mit

david@work:~\$ ghdl -a meinschaltnetz0028.vhdl
david@work:~\$ ghdl -r testbench --wave=wave.ghw
david@work:~\$ gtkwave wave.ghw