Re: Aufgaben und Übungen,

– Das RS-Latch geht
entity meinautomat0028ausgangschaltnetz is
port
(
 a, b, x: in bit;
 y0, y1, y2: out bit
);
end;

entity meinautomat0028uebergangsschaltnetz is
port
(
 a, b: inout bit;
 x: in bit
);
end;

architecture verhalten of meinautomat0028ausgangschaltnetz is
begin
 y0 <= (not b and x) or
 (b and a);
 y1 <= (b and not a and not x);
 y2 <= (b and a and x);
end;

architecture verhalten of meinautomat0028uebergangsschaltnetz is
begin
 b <= (b and not a) or
 (b and x);
 a <= (not b and x) or
 (not a and not x) or
 (b and a and x);
end;

entity meinautomat0028rslatch is
port (
    q1, q2: inout bit;
    r, s: in bit
);
end;

architecture verhalten of meinautomat0028rslatch is
begin
    q1 <= ((not r) nor q2);
    q2 <= ((not s) nor q1);
end;