Re: Aufgaben und Übungen,

Mit der übersetzung hat es ohne zu mucken funktioniert

david@work:~\$ ghdl -a automat0028b.vhdl
david@work:~\$ ghdl -r testbench --wave=wave.ghw