Re: Aufgaben und Übungen,

Ich gucke jetzt wie man
 RS-Latch hinbekomme.}

\section{ Re: Aufgaben und "Ubungen, }
\begin{verbatim}
-- Seltsamer weise hat das hier auf anhieb funktioniert

library ieee;
use ieee.std_logic_1164.all;

entity rs_latch is
port
(
    q: out std_logic;
    r, s: in std_logic
);
end;

architecture verhalten of rs_latch is
    signal q1, q2: std_logic;
begin
    q1 <= (q2 nor s);
    q2 <= (q1 nor r);
    q <= q1;
end;