UEbungen, Changing front end to apple - iPhone

Image 1LEN3SK1-0vlGNOJnIurczmYqB0MCx4Zz

Image 1lPUDqSTUlKLqImpNFI4zGVuqFUK80WBt

Image 1MXyNJPdG_yVjfvdQkFuHuHQaLwSbbENn

Image 1ZmcvmHcvR-uposzAkBi1CjcINkM7HUX1

Image 1BG85coed74xM8BRXVjvNbfpMb8tMUM6z

Image 1PRg0fk16WyCKdfBmKwgmxafzNjS-TtS5

Image 1PLopLRvSOPKDLBYfme8bM8V0MEeQQwja

Image 1OsJJUbQ576k7cDCQ-W_Yf4gnMyknwhku

Image 1zIrfh4zGKjKw8G6LRF-RGvY6MhKd9_55

Image 1aI4NAflPAdJcnl99SipxrAmrUM0f4eb_

Image 1JhlEqVe7t8GgofsoO2bzcs8bcPdLvL8H

Image 1isi1ogUlmzGAltZ8r4dP56ftqIlrwoDx

Image 1Omd-s4rtqls8rjjh0fjMD85X6uDrstUA

Image 1CfTuOMf2oAMC2h18ZVsBjWx0jEW5Hpp8

Image 1mjMgVcEF06vfdBDk0V7qOo7YySgBvdBg

Image 1YnhzF3l7rwFIcNw8GLAMMJivmQq9gm3v

Image 1Xtf5zxytR5l0fjT1CN-tPHj1H5tvWNbs

Image 1QdICr5zcaOZl5WNVg62PHLuz9wQs6es8

Image 1wh5RlJEJBeBxqVErnW2bJea9H4SBCDLT

Image 1cVfyB0PIDR2h06AiGThxl5WRacR6nqzQ

Image 12Ktyk1W9ry9yiBvO_Ixkkvw-PYf30FQI

Image 1_jhqJNKigTn1XsZHRzjtF-aADm4BJ12I

Image 1_3laMt8-mU3Ay7hZVPX3ylsnP0Q4MNud

Image 1sBvQz0ALCxowBj_J8LBnfTrO-R_PSPgo

Image 1nXYxTZztcJpe06k9QAZVnw3hQZsXBQ3e

Image 18LD-xkctOzDIoeCfin9kxmzdrr4c6vY9

Image 1Wcp4Y4pvBae35e5zRzaU7dQ75mxB7tp6

Image 19bA3j5KCu3v9CDEBX834F1hUFXyuNzVR

Image 19olhEeqxULjR0kJHk6_gFKJ4aFMXTfAn

Image 1WWuJYHIuf1Maf_xTbX_ZDrSysKsu7R1F

Image 163c9Iq4odiILMhSWHstptupa5nIaJJjY

Image 1Wija1ySh1zDpUQfDayk92r4CP2BX3KFI

Image 18bzODBXgK0-GgXwdHbxKEIxdqhRXiFxd

Image 1F6XF2ydwU17Ywtv0bMuWmKyM3KtKzf-f

Image 10M8uDdsCtlA83fBIeUfcpV6TxEKSsshj

Image 132oM7Oug4XdHfBTKgGpLlLt5q8x-eGQ0

Image 1hHdzD-p_UtxPl-N7DIPIhYV72YmONwbq

Image 1cCBf_b-2PWprWExfyKEoJV4BfqUmf4Iq

Image 1KG4-swSsVt_gEKPSabzuz8J9_J79eyyA

Image 1E2CFzxrPk9kpk0FuCmHIjYT9vshQmQ_r

Image 1dxRURjXD6NxigIEMMnpeGPvRAp2hxtLY

Image 1MLu3GsqLISiPTyYH77A2J_bWt3Vij61e

Image 1JPgOjo_LoAn9Ax4dA–CjJi-NboJ8iUo

Image 17ItU5q61qFOwXLmGtEjTggRThWkR9FTc

-- https://phpbb3.ituenix.de/viewtopic.php?p=2504\&sid=fe31b12ff2b3b374eaca692913acc42d#p2504

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0     1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0     1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1 0 - 0
8:12    1 - 0 0
10:11   1 0 1 -
7:15    - 1 1 1
11:15   1 - 1 1

0:1     0 0 0 -
10:11   1 0 1 -
0:2     0 0 - 0
8:10    1 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15    - 1 1 1
8:12    1 - 0 0
11:15   1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11   1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15    - 1 1 1

Gruppe 1:
8:12    1 - 0 0
Gruppe 3:
11:15   1 - 1 1

0:1             0 0 0 -
10:11           1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1 0 - 0

0:2:8:10        - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10        - 0 - 0

Gruppe 3:
7:15            - 1 1 1

Gruppe 1:
8:12            1 - 0 0
Gruppe 3:
11:15           1 - 1 1

0:1             0 0 0 -
10:11           1 0 1 -
0:2:8:10        - 0 - 0
7:15            - 1 1 1
8:12            1 - 0 0
11:15           1 - 1 1

Primimplikantentafel

                0  1  2  7  8 10 11 12 15
0:1             *  *
10:11                         *  *
0:2:8:10        *     *     * *
7:15                     *             *
8:12                        *       *
11:15                            *     *

                0  1  2  7  8 10 11 12 15
0:1             *  *                      p
10:11                         *  *
0:2:8:10        *     *     * *           p
7:15                     *             *  p
8:12                        *       *     p
11:15                            *     *

               0  1  2  7  8 10 11 12 15
0:1             *  *                      p
10:11                         *  *
0:2:8:10        *     *     * *           p
7:15                     *             *  p
8:12                        *       *     p

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10            - 0 - 0
7:15                - 1 1 1
8:12                1 - 0 0

y <= (not x3 and not x2 and not x1) or
     (x3 and not x2 or x1) or
  (not x2 or not x0) or
  (x2 and x1 and x0) or
  (x3 and not x1 and not x0)

libray ieee;
use std_logic_1164.all;

entity test is
port
(


);
end;

architecture behaviour of test is
begin

end;

--  Defines a design entity
library ieee;
use ieee.std_logic_1164.all;

entity quine20240616 is
port (
 x3, x2, x1, x0: in std_logic;
 y: out std_logic
);
end quine20240616;

architecture behaviour of quine20240616 is
begin
    y <= (not x3 and not x2 and not x1) or
         (x3 and not x2 and x1) or
   (not x2 and not x0) or
   (x2 and x1 and x0) or
   (x3 and not x1 and not x0);

end;

library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;

entity quine20240616testbench is

end;

architecture behaviour of quine20240616testbench is
   component quine20240616
   port (
        x3, x2, x1, x0: in std_logic;
 y: out std_logic
   );
   end component;
   signal x3, x2, x1, x0, y: std_logic;
begin
    q: quine20240616 PORT MAP (x3=>x3,x2=>x2,x1=>x1,x0=>x0,y=>y);

 process
 variable l: line;
    begin
        x3 <= '0';
  x2 <= '0';
  x1 <= '0';
  x0 <= '0';
     write (l, String'(x3,x2,x1,x0,y));
  writeline (output, l);
        wait;
    end process;

end behaviour;

So, da gibt es noch ein paar probleme bei vhdl ohne gtkwave. Ich weiss nicht, wie man mit write signale ausgibt, und: wie erziele ich dass genau eine komponente ausgefuehrt wird. Ich loese das problem wann anders

Ich muss jetzt die testbench neu schreiben, ich verwende dafuer ja bisher einen generator, der es fuer gtkwave macht. Deswegen jetzt mit write und ouput, aber das ist eine frage des generators.

Oder ich schreibe es ein mal und verwende es als template, vorlage ohne es jedes mal zu schreiben.

Oder noch besser, mit write brauche ich keine nanosekunden. Es ist ein process, aber danach wird jedes mal write ausgefuehrt

-- https://phpbb3.ituenix.de/viewtopic.php?p=2504\&amp;sid=fe31b12ff2b3b374eaca692913acc42d#p2504

--  Defines a design entity
use std.textio.all;
entity test20240616 is

end test20240616;

architecture behaviour of test20240616 is

begin
    process
      variable l: line;
    begin
        write (l, String'('0','1'));
  writeline (output, l);
        wait;
    end process;

end behaviour;

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0     1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0     1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1 0 - 0
8:12    1 - 0 0
10:11   1 0 1 -
7:15    - 1 1 1
11:15   1 - 1 1

0:1     0 0 0 -
10:11   1 0 1 -
0:2     0 0 - 0
8:10    1 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15    - 1 1 1
8:12    1 - 0 0
11:15   1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11   1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15    - 1 1 1

Gruppe 1:
8:12    1 - 0 0
Gruppe 3:
11:15   1 - 1 1

0:1             0 0 0 -
10:11           1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1 0 - 0

0:2:8:10        - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10        - 0 - 0

Gruppe 3:
7:15            - 1 1 1

Gruppe 1:
8:12            1 - 0 0
Gruppe 3:
11:15           1 - 1 1

0:1             0 0 0 -
10:11           1 0 1 -
0:2:8:10        - 0 - 0
7:15            - 1 1 1
8:12            1 - 0 0
11:15           1 - 1 1

Primimplikantentafel

                0  1  2  7  8 10 11 12 15
0:1             *  *
10:11                         *  *
0:2:8:10        *     *     * *
7:15                     *             *
8:12                        *       *
11:15                            *     *

                0  1  2  7  8 10 11 12 15
0:1             *  *                      p
10:11                         *  *
0:2:8:10        *     *     * *           p
7:15                     *             *  p
8:12                        *       *     p
11:15                            *     *

               0  1  2  7  8 10 11 12 15
0:1             *  *                      p
10:11                         *  *
0:2:8:10        *     *     * *           p
7:15                     *             *  p
8:12                        *       *     p

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10            - 0 - 0
7:15                - 1 1 1
8:12                1 - 0 0

y <= (not x3 and not x2 and not x1) or
     (x3 and not x2 or x1) or
  (not x2 or not x0) or
  (x2 and x1 and x0) or
  (x3 and not x1 and not x0)

Image 1pKDcXwfTAZehF_OvXr-V7cmC8vM63DC9

Ich habe mir ueberlegt ob ich tuebingen eine mache. Ich suche mir ein paar punkte raus. Und suche nach wegen, wo ich zwischen nicht drei punkten zwingend den selben laufe, also: wenn ich sehe, hier war ich noch nicht, aber war auf jeder kante bzw. strecke, die ich meinte, dann war es eine

Machen wir eine eulertour? Das eine ist olanzapin, das andere ein trizyklisches as antideptressivum und sie werden sehen, es geht nicht. Weil es gehen drei kanten raus

Image 11TDg4vLvrLYIoMoKHThJA_iVJadfoyxt

Image 1ilGlRXm_vgMO2pJ4AnBtJZHHMiGIVUUT

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1  0 - 0
8:12     1 - 0 0
10:11    1 0 1 -
7:15     - 1 1 1
11:15    1 - 1 1

0:1     0 0 0 -
10:11    1 0 1 -
0:2     0 0 - 0
8:10    1  0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15     - 1 1 1
8:12     1 - 0 0
11:15    1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11    1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15     - 1 1 1

Gruppe 1:
8:12     1 - 0 0
Gruppe 3:
11:15    1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

0:2:8:10         - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10         - 0 - 0

Gruppe 3:
7:15                 - 1 1 1

Gruppe 1:
8:12                  1 - 0 0
Gruppe 3:
11:15                  1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10         - 0 - 0
7:15                 - 1 1 1
8:12                  1 - 0 0
11:15                  1 - 1 1

Primimplikantentafel

                      0 1 2 7 8 10 11 12 15
0:1                 *  *
10:11                               * *
0:2:8:10        *    *     *   *
7:15                         *                    *
8:12                            *.            *
11:15                                  *.         *

                      0 1 2 7 8 10 11 12 15
0:1                 *  *
10:11                               * *
0:2:8:10        *    *     *   *
7:15                         *                    *
8:12                            *.            *

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10         - 0 - 0
7:15                 - 1 1 1
8:12                  1 - 0 0

y <= not x3 and not x2 and not x1
or (x3... spaeter weiter

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1  0 - 0
8:12     1 - 0 0
10:11    1 0 1 -
7:15     - 1 1 1
11:15    1 - 1 1

0:1     0 0 0 -
10:11    1 0 1 -
0:2     0 0 - 0
8:10    1  0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15     - 1 1 1
8:12     1 - 0 0
11:15    1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11    1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15     - 1 1 1

Gruppe 1:
8:12     1 - 0 0
Gruppe 3:
11:15    1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

0:2:8:10         - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10         - 0 - 0

Gruppe 3:
7:15                 - 1 1 1

Gruppe 1:
8:12                  1 - 0 0
Gruppe 3:
11:15                  1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10         - 0 - 0
7:15                 - 1 1 1
8:12                  1 - 0 0
11:15                  1 - 1 1

0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    0
 5 0 1 0 1    0
 6 0 1 1 0    0
 7 0 1 1 1    1
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 7 0 1 1 1    1
 8 1 0 0 0    1
10 1 0 1 0    1
11 1 0 1 1    1
12 1 1 0 0    1
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
8 1 0 0 0    1
Gruppe 2:
10 1 0 1 0    1
12 1 1 0 0    1
Gruppe 3:
 7 0 1 1 1    1
11 1 0 1 1    1
Gruppe 4:
15 1 1 1 1    1

0:1     0 0 0 -
0:2     0 0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
8:10    1  0 - 0
8:12     1 - 0 0
10:11    1 0 1 -
7:15     - 1 1 1
11:15    1 - 1 1

0:1     0 0 0 -
10:11    1 0 1 -
0:2     0 0 - 0
8:10    1  0 - 0
0:8     - 0 0 0
2:10    - 0 1 0
7:15     - 1 1 1
8:12     1 - 0 0
11:15    1 - 1 1

Gruppe 0:
0:1     0 0 0 -
Gruppe 2:
10:11    1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0
Gruppe 3:
7:15     - 1 1 1

Gruppe 1:
8:12     1 - 0 0
Gruppe 3:
11:15    1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -

Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
8:10    1  0 - 0

0:2:8:10         - 0 - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10         - 0 - 0

Gruppe 3:
7:15                 - 1 1 1

Gruppe 1:
8:12                  1 - 0 0
Gruppe 3:
11:15                  1 - 1 1

0:1                 0 0 0 -
10:11               1 0 1 -
0:2:8:10         - 0 - 0
7:15                 - 1 1 1
8:12                  1 - 0 0
11:15                  1 - 1 1

Image 11OSxj8oIyz9xsFNyzSQAqpwnU4EP9WVX

Image 1-xWp7HsdUuTJ262YX63tKH4pUpAzu72z

Image 1GM4BTrJX5-lxwl4hwX4CZixAlC6atcGI

Image 1BL9pLnQ_lM3EnZBeYUIH0iWgImdJJCUx

Image 1AygIWtbuzXfmh2tJGmtFCa4YpJgEM6VN

Image 1WbBYUKtxT56gLZUKHBD3blgH5BP0B2yB

Image 10BY6HkKL1Rdn5A-fCjYsLKPwfdbQwyMy

Image 1oKCv_wcpdbhOyOmONxhlYbixM2nb371c

Image 1QPtO5u_i1E5C7bVjYl4fNpZINlvBU9-K

Den fpga mache ich heute abend. Vhdl mips32 immer sbends. Morgens lernen nachmittags aufgaben

Ich lerne auch auswendig. Jetzt. Ich lerne auch wieder computersysteme. Mit dem eineb smartphone filme ich, an dem anderen lese ich.

So, ich mache jetzt eine aufgabe mit quine mc cluskey.

Image 1BV2GaxUTaImhyyz34THQGmAUQce23i_T

<?php
session_start ();
?>

<!-- https://phpbb3.ituenix.de/viewtopic.php?p=2504\&amp;sid=fe31b12ff2b3b374eaca692913acc42d#p2504 -->

<form action="./form20240614.php" method="POST">
<input type="text" name="form20240614a">
<input type="submit">
</form>

<?php
echo session_id () . "<br>n";

setcookie ("form20240614c", "Hallo Welt", time () + 1200);

echo htmlentites (\$_POST ["form20240614a"]) . "<br>n";
echo htmlentities (\$_COOKIE ["form20240614b"]) . "<br>n";
echo htmlentities (\$_COOKIE ["form20240614c"]) . "<br>n";

session_destroy ();
?>

Image 1xJUeNNTjas31vrADM_Q610cgQlO3WZDq

Image 1yb4ZvI_ZjZC7qWQzoAqQV0KCpy9-3an7

<?php
session_start ();
?>

<!-- https://phpbb3.ituenix.de/viewtopic.php?p=2504\&amp;sid=fe31b12ff2b3b374eaca692913acc42d#p2504 -->

<form action="./form20240614.php" method="POST">
<input type="text" name="form20240614a">
<input type="submit">
</form>

<?php
echo session_id () . "<br>n";

setcookie ("form20240614c", "Hallo Welt", time () + 1200);

echo htmlentites (\$_POST ["form20240614a"]) . "<br>n";
echo htmlentities (\$_COOKIE ["form20240614b"]) . "<br>n";
echo htmlentities (\$_COOKI ["form20240614c"]) . "<br>n";

session_destroy ();
?>

Image 1GsHYFUgEMfPVsEtNQuk5cTidoI4TcDGl

Image 1YIfRGSSPSDgVyn38BlNEQ04l_FwA3FmY

Image 1dVAOaY6MD6f8jO_x7Xa_z2WO13zrrcP6

Image 1QgZ8j2mOINrFUIt9Pb00heY1XkvcDplP

Image 1TtmHSNxxL7ET6tON0JwemJpLb_jB_X21

Image 1Mw6Z74ONh892-cWU8_Mmh35GTyKqAUdc

Image 1bKXF3Kq1G1aV7uc1HEy0H7PuvJMxFy1d

Image 1J1KiFmfkVq4htZrJ9cyHYutSt1-Nk9Xa

Image 1otydwXqejuvxQHk0PJHCEnSg8E-0crmM

So, ich mache jetzt die ueblichen Aufgaben. Auf dem Linux Server aber dies mal vom Apple iPhone als sichtgeraet aus.

Ich fange heute auch mit dem mips32 an, jeden Tag ein bisschen, sonst aendert sich nichts

Image 1bPjvN7kX28U64-va9SPcyL3hen83hrxU

Image 1JJMK8mZ4ox8k32tm2Oye8XvxgRYLCtdt

Ich erstelle gleich mal eine UEbungs pdf wie ueblich und zeige es geht.

Image 1HiINmWv0yBVw3STMrkFNPDPYvMriZxCr

Image 1fmRH0mOa6c09BxvoV8MzfgaOwLSrFjxP

Image 1PiZCz3q9cUisfcximrKqkRdTnW_swZhL

Image 1_-EAgW6utHGigisQhqljVEJGf05QPOM6

Image 19dO4pGtQtqO1svmgcQyh7eIRelJbbDnb

Image 1Au947FDLPoUWH_1QBDB147GkWMjkbeRk

Image 1HjTC6ALDyyFgEe4ny0ihL4SJY3EyGDXz

Image 1ENedRKCePcYXiCzy9vSLkCwrgbQ9Ss9H

Image 1quWsuBRcKDl0S-PybgVO8RdbB8vcntUG

Ich gebe das jetzt auf der Firewall frei und hoffe, ich komme aus dem Internet rein

Ok, dann koennen wir anfangen, ssh tut und ftp auch

Image 1UQdykfZxtPyycRshDeZ4U6LHiYR_jHJ0

Image 1e6LQXoAV721v2jGS1dfLajIPxiqeqodu

Image 1p86zExYXg42X5cThkqhGQ3CwUwHjRLf7

Ok proftpd funktioniert natuerlich so wie jeder Server unter Linux. Postfix und co. Die Nutzer die da sind, sind da. Ich hatte mehrfach proftpd aber nie wirklich genutzt. Ich habe jetzt in der manpage nach geschaut. Sie brauchen den anonymes User ftp nicht freischalten

Image 18M9M4cSW5BEZnOb39uaWwmfqPzzeZen2

Image 1RvMYimkzH8IUX_CG1VQgAk3JEnkeuril

Image 1igES66Mh4Aa4I7RDaUByW4ZOAbDzOEnB

Image 1UBAXSfpaTSon2SIvhUF1tG7-XwFbLcHJ

Image 1QHZty9hlnvJYlur0DzMByUCwiTkuDQ_b

Image 1sO1_CKm-ZFyEPY1fFcb0FpxzsZPYPPHk

Image 1qx6EZ3k7Z2Ot4UKTVLckhhuDQCA_XuOj

Image 1hpgHMz3JUlBKCfWNMPJTafqml-cFPixt

Ich richte einfach auf dem Linux Server einen ftp Server ein. Per ssh natuerlich. Und ich mache einen ftp Client auf das Smartphone. Dazu gebe ich die Ports von ssh und ftp frei. Und gucke ob ich sie aus dem Netz erreiche. Um einen meiner Rechner muss ich mich noch kuemmern. Dann bin ich fertig.

Gcc, c Compiler, pdflatex, gpg, public key encryption, ich meine kde von Linux benutzt gpg, aber das ist egal. Wozu brauche ich das? Ich benutze gpg ueber die Konsole. Webserver, auch OpenSSL, alles. Ich meine mit gpg verschluessele ich Mails zur Not oder lese sie, aber warum sollte ich das nicht auf dem Linux Server selber machen. Mail Inhalt auf den Server da entschluesseln, das ist nur Text. Alles mache ich ueber die Konsole ssh. Auch Ghdl oder sogar gtkwave. Warum nicht das Bild kann ich rueber schicken. Und: ansonsten ist mein iPhone mein sichtgeraet, benutze ich als frontend

Pdflatex, pdftoppm, alles Konsole

Also, ich habe den vhdl Code, ich mache morgen weiter. Und keine Sorge in meinen Verzeichnissen herrscht Ordnung

--- https://phpbb3.ituenix.de/viewtopic.php?p=2504\&amp;sid=fe31b12ff2b3b374eaca692913acc42d#p2504

library ieee;
use ieee.std_logic_1164.all;

entity rslatch is
port (
    r, s: in std_logic;
    q: out std_logic
);
end;

architecture verhalten of rslatch is
    signal q1, q2: std_logic;
    signal init: std_logic;
begin
    init <= '0' after 0 ns, '1' after 1 ns;

    q1 <= '1' when (init='0') else
            (q2 nor r);
    q2 <= '0' when (init='0') else
            (q1 nor s);
    q <= q1;
end;

library ieee;
use ieee.std_logic_1164.all;

entity clockcontrolledrslatch is
port (
    r, s: in std_logic;
    c: in std_logic;
    q: out std_logic
);
end;

architecture behaviour of clockcontrolledrslatch is
    component rslatch
    port (
        r, s: in std_logic;
        q: out std_logic
    );
    end component;
    signal r1, s1: std_logic;
begin
    rslatch1: rslatch PORT MAP (r=>r1, s=>s1, q=>q);
    s1 <= (s and c);
    r1 <= (r and c);
end;

library ieee;
use ieee.std_logic_1164.all;

entity dlatch is
port (
    d: in std_logic;
    c: in std_logic;
    q: out std_logic
);
end;

architecture behaviour of dlatch is
    component clockcontrolledrslatch
    port (
        r, s: in std_logic;
        c: in std_logic;
        q: out std_logic
    );
    end component;
    signal r1, s1: std_logic;
begin
    clockcontrolledrslatch1: clockcontrolledrslatch PORT MAP (r=>r1, s=>s1, q=>q, c=>c);
    s1 <= not d;
    r1 <= d;
end;

library ieee;
use ieee.std_logic_1164.all;

entity dmsflipflop is
port (
    d: in std_logic;
    c: in std_logic;
    q: out std_logic
);
end;

architecture behaviour of dmsflipflop is
    component dlatch
    port (
        d: in std_logic;
        c: in std_logic;
        q: out std_logic
    );
    end component;
    signal d1, d2: std_logic;
    signal c1, c2: std_logic;
    signal q1, q2: std_logic;
begin
    dlatch1: dlatch PORT MAP (d=>d1, c=>c1, q=>q1);
    dlatch2: dlatch PORT MAP (d=>d2, c=>c2, q=>q2);
    c1 <= c;
    c2 <= not c;
    d1 <= d;
    d2 <= q1;
    q <= q2;
end;

library ieee;
use ieee.std_logic_1164.all;

entity flipfloptestbench is
port (
    q: out std_logic
);
end;

architecture behaviour of flipfloptestbench is
    component dmsflipflop
    port (
        d: in std_logic;
        c: in std_logic;
        q: out std_logic
    );
    end component;
    signal d, c: std_logic;
begin
    dmsflipflop1: dmsflipflop PORT MAP (q=>q, d=>d, c=>c);

    c <= '0' after 0 ns, '1' after 20 ns, '0' after 40 ns, '1' after 60 ns, '0' after 80 ns, '1' after 100 ns, '0' after 120 ns, '1' after 140 ns,
            '0' after 160 ns, '1' after 180 ns, '0' after 200 ns, '1' after 220 ns, '0' after 240 ns,
            '1' after 260 ns, '0' after 280 ns, '1' after 300 ns, '0' after 320 ns, '1' after 340 ns;
    d <= '0' after 0 ns, '1' after 150 ns, '0' after 250 ns;

end;

library ieee;
use ieee.std_logic_1164.all;

entity reg32 is
port (
    we: in std_logic;
    q: out std_logic_vector (31 downto 0);
    d: in std_logic_vector (31 downto 0)
);
end;

architecture behaviour of reg32 is
    component dmsflipflop
    port (
        d: in std_logic;
        c: in std_logic;
        q: out std_logic
    );
    end component;
begin
    l1:
    for i in 0 to 31 generate
        dmsflipflop1: dmsflipflop PORT MAP (q=>q(i), d=>d(i), c=>we);
    end generate;
end;

library ieee;
use ieee.std_logic_1164.all;

entity reg32testbench is
port (
    q: out std_logic_vector (31 downto 0)
);
end;

architecture behaviour of reg32testbench is
    component reg32
    port (
        d: in std_logic_vector (31 downto 0);
        we: in std_logic;
        q: out std_logic_vector (31 downto 0)
    );
    end component;
    signal d: std_logic_vector (31 downto 0);
    signal we: std_logic;
begin
    reg32a: reg32 PORT MAP (d=>d, q=>q, we=>we);

    d(0) <= '1';
    d(1) <= '0';
    d(2) <= '1';
    d(3) <= '0';
    d(4) <= '1';
    d(5) <= '0';
    d(6) <= '1';
    d(7) <= '0';
    d(8) <= '1';
    d(9) <= '1';
    d(10) <= '0';
    d(11) <= '1';
    d(12) <= '0';
    d(13) <= '1';
    d(14) <= '0';
    d(15) <= '1';
    d(16) <= '0';
    d(17) <= '1';
    d(18) <= '0';
    d(19) <= '1';
    d(20) <= '0';
    d(21) <= '1';
    d(22) <= '0';
    d(23) <= '1';
    d(24) <= '0';
    d(25) <= '1';
    d(26) <= '0';
    d(27) <= '1';
    d(28) <= '0';
    d(29) <= '1';
    d(30) <= '0';
    d(31) <= '1';
    we <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns,    '1' after 60 ns, '0' after 80 ns;

end;

library ieee;
use ieee.std_logic_1164.all;

entity addressdecoder5to32 is
port (
    a: in std_logic_vector (4 downto 0);
    b: out std_logic_vector (31 downto 0)
);
end;

architecture behaviour of addressdecoder5to32 is
begin
    -- 00000
    b (0) <= not a (4) and not a (3) and not a (2) and not a (1) and not a (0);
    -- 00001
    b (1) <= not a (4) and not a (3) and not a (2) and not a (1) and a (0);
    -- 00010
    b (2) <= not a (4) and not a (3) and not a (2) and a (1) and not a (0);
    -- 00011
    b (3) <= not a (4) and not a (3) and not a (2) and a (1) and a (0);

    -- 00100
    b (4) <= not a (4) and not a (3) and a (2) and not a (1) and not a (0);
    -- 00101
    b (5) <= not a (4) and not a (3) and a (2) and not a (1) and a (0);
    -- 00110
    b (6) <= not a (4) and not a (3) and a (2) and a (1) and not a (0);
    -- 00111
    b (7) <= not a (4) and not a (3) and a (2) and a (1) and a (0);

    -- 01000
    b (8) <= not a (4) and a (3) and not a (2) and not a (1) and not a (0);
    -- 01001
    b (9) <= not a (4) and a (3) and not a (2) and not a (1) and a (0);
    -- 01010
    b (10) <= not a (4) and a (3) and not a (2) and a (1) and not a (0);
    -- 01011
    b (11) <= not a (4) and a (3) and not a (2) and a (1) and a (0);

    -- 01100
    b (12) <= not a (4) and a (3) and a (2) and not a (1) and not a (0);
    -- 01101
    b (13) <= not a (4) and a (3) and a (2) and not a (1) and a (0);
    -- 01110
    b (14) <= not a (4) and a (3) and a (2) and a (1) and not a (0);
    -- 01111
    b (15) <= not a (4) and a (3) and a (2) and a (1) and a (0);

    -- 10000
    b (16) <= a (4) and not a (3) and not a (2) and not a (1) and not a (0);
    -- 10001
    b (17) <= a (4) and not a (3) and not a (2) and not a (1) and a (0);
    -- 10010
    b (18) <= a (4) and not a (3) and not a (2) and a (1) and not a (0);
    -- 10011
    b (19) <= a (4) and not a (3) and not a (2) and a (1) and a (0);

    -- 10100
    b (20) <= a (4) and not a (3) and a (2) and not a (1) and not a (0);
    -- 10101
    b (21) <= a (4) and not a (3) and a (2) and not a (1) and a (0);
    -- 10110
    b (22) <= a (4) and not a (3) and a (2) and a (1) and not a (0);
    -- 10111
    b (23) <= a (4) and not a (3) and a (2) and a (1) and a (0);

    -- 11000
    b (24) <= a (4) and a (3) and not a (2) and not a (1) and not a (0);
    -- 11001
    b (25) <= a (4) and a (3) and not a (2) and not a (1) and a (0);
    -- 11010
    b (26) <= a (4) and a (3) and not a (2) and a (1) and not a (0);
    -- 11011
    b (27) <= a (4) and a (3) and not a (2) and a (1) and a (0);

    -- 11100
    b (28) <= a (4) and a (3) and a (2) and not a (1) and not a (0);
    -- 11101
    b (29) <= a (4) and a (3) and a (2) and not a (1) and a (0);
    -- 11110
    b (30) <= a (4) and a (3) and a (2) and a (1) and not a (0);
    -- 11111
    b (31) <= a (4) and a (3) and a (2) and a (1) and a (0);

end;

library ieee;
use ieee.std_logic_1164.all;

entity addressdecoder5to32testbench is
port (
    q: out std_logic_vector (31 downto 0)
);
end;

architecture behaviour of addressdecoder5to32testbench is
    component addressdecoder5to32
    port (
        a: in std_logic_vector (4 downto 0);
        b: out std_logic_vector (31 downto 0)
    );
    end component;
    signal d: std_logic_vector (4 downto 0);
begin
    addressdecoder5to32a: addressdecoder5to32 PORT MAP (b=>q, a=>d);
d (0) <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns, '0' after 160 ns, '1' after 170 ns, '0' after 180 ns, '1' after 190 ns, '0' after 200 ns, '1' after 210 ns, '0' after 220 ns, '1' after 230 ns, '0' after 240 ns, '1' after 250 ns, '0' after 260 ns, '1' after 270 ns, '0' after 280 ns, '1' after 290 ns, '0' after 300 ns, '1' after 310 ns;
 d (1) <= '0' after 0 ns, '1' after 20 ns, '0' after 40 ns, '1' after 60 ns, '0' after 80 ns, '1' after 100 ns, '0' after 120 ns, '1' after 140 ns, '0' after 160 ns, '1' after 180 ns, '0' after 200 ns, '1' after 220 ns, '0' after 240 ns, '1' after 260 ns, '0' after 280 ns, '1' after 300 ns, '0' after 320 ns, '1' after 340 ns;
 d (2) <= '0' after 0 ns, '1' after 40 ns, '0' after 80 ns, '1' after 120 ns, '0' after 160 ns, '1' after 200 ns, '0' after 240 ns, '1' after 280 ns, '0' after 320 ns, '1' after 360 ns;
 d (3) <= '0' after 0 ns, '1' after 80 ns, '0' after 160 ns, '1' after 240 ns, '0' after 320 ns, '1' after 400 ns;
 d (4) <= '0' after 0 ns, '1' after 160 ns;

end;

library ieee;
use ieee.std_logic_1164.all;

entity registerset32x32 is
port (
    writereg: in std_logic_vector (4 downto 0);
    readreg1: in std_logic_vector (4 downto 0);
    readreg2: in std_logic_vector (4 downto 0);
    readport1: out std_logic_vector (31 downto 0);
    readport2: out std_logic_vector (31 downto 0);
    writeport: in std_logic_vector (31 downto 0);
    we: in std_logic
);
end;

architecture behaviour of registerset32x32 is
    component reg32
    port (
        d: in std_logic_vector (31 downto 0);
        we: in std_logic;
        q: out std_logic_vector (31 downto 0)
    );
    end component;
    component addressdecoder5to32
    port (
        a: in std_logic_vector (4 downto 0);
        b: out std_logic_vector (31 downto 0)
    );
    end component;
    signal writereg1: std_logic_vector (31 downto 0);
begin
    addressdecoder5to32a: addressdecoder5to32 PORT MAP (a=>writereg, b=>writereg1);
    l1:
    for i in 0 to 31 generate
        reg32a: reg32 PORT MAP (we=>writereg1(i),d=>writeport,q=>readport1);  -- WARNING - WARNING
    end generate;
end;

Image 1sxO1wEljM_gTeLCD8ZFKpx03Io96jsDt

Image 19Z8ijYXMsQuav4hsEC_1yGk0Dcypu96y

Image 1761E4XB3nwcHKQBcMuTPmRwFFQ5pk8bD

Image 18pQ_kEJlqMHdcpQHzden5T8WKZacThAG

Image 1ppAh4oy59REu10UvXc_uZ59_W5UoDANZ

Image 1WPE3qoh3oilxeiozB76RDUJzlWHBiHmd

Image 1pU6spBJvxq0-a6RXDZpaJuF5yIycSQIb

Image 1xnZz7bejfLWuhL4Ul2ITpNI5crZWgbUL

Image 13v60hGKowOHmZHnE-5PocYsd6b9_EWLL

Image 1cIPexRxMHtItBtNvMGd6FiR4pZKopy6Y

Image 1Pt0SNDgfrKIZRvq0KYXoGam-29p6SXLt

Image 1_CO5e6f53h0jsknf5ThBc9iTREb0SE-c

Image 10TXv-XyrVDCdoxmlpO3seVx2npKTPVrY

Image 12DIddLRvkx4OQivaCbyDn5RS7__kI7h6

Image 1j-KCjVidKc99lZXw7gw9HcyLpXZw5fD2

Image 1ZtU2-8S73KoCy1UG6UpmTRXx0RfBTjeU

Image 1JLT7D072ESe9gtLPmBA_PfVaky8qXdwT

Image 1RU-fI66fH9QCYKbr6APBakS5_NzP0_qR

Image 12NC8GjEI4rAuKvZO_ywBCFF8pW3dl-_4

Image 1cgFeoSj8abm9jg28KAmeV9RcVB8z21_e

Image 1ceLIvprEHctXKht-jo1gvdSeydYeUZ4a

Image 14JBVabgnbF_RNhvYnqsL62chMOf4D5pt

Image 1H3OTevADeqTFWOOspn6xjRU-jHwIWvxh

Image 1xszw3vecNAx7KzHPwNJ45MpeJljuE6Il

Image 1VBUEowzGGl_vWvVD2Hszhu32llGpr9if

Image 1MPRbF9V50Vj4xCZsednrjdheS6aMrjnK

Image 1nVoAY2Hk1KcQk4BZArKl-JmanB6iShaw

Image 1D2Rvl1aglHs42Y2GN5P8QG6CFG1btf7g