Schaltung - 20250312

Davor noch die einfachste Übung das Port ansteuern wie bisher portb in der Schaltung muss ich dann noch die LEDs einsetzen an das Port B das war alles schon auf Facebook. Ich hab's alles noch auf der Homepage. Auf der Homepage ist nichts weg halt die Standardübung


--  jetzt kommt die einfachste schaltung, die ist extrem einfach und  muesste in max 3 minuten tun
-- (C) David Vajda
-- Sun Mar  9 15:37:13 2025
-- 3 Network - TTL - Disjunktive Normalform

library ieee;
use ieee.std_logic_1164.all;

entity quine20250309 is
port (
 x2, x1, x0: in std_logic;
 y: out std_logic
);
end;

architecture behaviour of quine20250309 is
begin
 y <= (not x0) or x2;
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20250309testbench is
port (
 y: out std_logic
);
end;

architecture behaviour of quine20250309testbench is
 component quine20250309
 port (
 x2, x1, x0: in std_logic;
 y: out std_logic
 );
 end component;
 signal x2, x1, x0: std_logic;
begin
 q: quine20250309 PORT MAP (x2=>x2, x1=>x1, x0=>x0, y=>y);
 x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns;

 x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns;

 x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns;
end;


(C) David Vajda
Sun Mar  9 15:37:13 2025
3 Network - TTL - Disjunktive Normalform

 x2 x1 x0 y
 0 0 0 0 1
 1 0 0 1 0
 2 0 1 0 1
 3 0 1 1 0
 4 1 0 0 1
 5 1 0 1 1
 6 1 1 0 1
 7 1 1 1 1

 x2 x1 x0 y
Gruppe 0:
 0 0 0 0 1
Gruppe 1:
 2 0 1 0 1
 4 1 0 0 1
Gruppe 2:
 5 1 0 1 1
 6 1 1 0 1
Gruppe 3:
 7 1 1 1 1

 0:2 0 - 0
 0:4 - 0 0
 2:6 - 1 0
 4:5 1 0 -
 4:6 1 - 0
 5:7 1 - 1
 6:7 1 1 -

 0:4 - 0 0
 2:6 - 1 0
 0:2 0 - 0
 4:6 1 - 0
 5:7 1 - 1
 6:7 1 1 -
 4:5 1 0 -

Gruppe 0:
 0:4 - 0 0
Gruppe 1:
 2:6 - 1 0

Gruppe 0:
 0:2 0 - 0
Gruppe 1:
 4:6 1 - 0
Gruppe 2:
 5:6 1 - 1

Gruppe 1:
 4:5 1 0 -
Gruppe 2:
 6:7 1 1 -

Gruppe 0:
 0:4 - 0 0
Gruppe 1:
 2:6 - 1 0

0:4:2:6 - - 0

Gruppe 0:
 0:2 0 - 0
Gruppe 1:
 4:6 1 - 0
Gruppe 2:
 5:7 1 - 1

0:2:4:6 - - 0
4:6:5:7 1 - -

Gruppe 1:
 4:5 1 0 -
Gruppe 2:
 6:7 1 1 -

4:5:6:7 1 - -

0:2:4:6 - - 0
4:6:5:7 1 - -

 y <= (not x0) or x2;

library ieee;
use ieee.std_logic_1164.all;

entity quine20250309 is
port (
 x2, x1, x0: in std_logic;
 y: out std_logic
);
end;

architecture behaviour of quine20250309 is
begin
 y <= (not x0) or x2;
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20250309testbench is
port (
 y: out std_logic
);
end;

architecture behaviour of quine20250309testbench is
 component quine20250309
 port (
 x2, x1, x0: in std_logic;
 y: out std_logic
 );
 end component;
 signal x2, x1, x0: std_logic;
begin
 q: quine20250309 PORT MAP (x2=>x2, x1=>x1, x0=>x0, y=>y);


 (C) David Vajda
Sun Mar  9 15:37:13 2025
3 Network - TTL - Disjunktive Normalform

 x2 x1 x0 y
 0 0 0 0 1
 1 0 0 1 0
 2 0 1 0 1
 3 0 1 1 0
 4 1 0 0 1
 5 1 0 1 1
 6 1 1 0 1
 7 1 1 1 1

 x2 x1 x0 y
Gruppe 0:
 0 0 0 0 1
Gruppe 1:
 2 0 1 0 1
 4 1 0 0 1
Gruppe 2:
 5 1 0 1 1
 6 1 1 0 1
Gruppe 3:
 7 1 1 1 1

 0:2 0 - 0
 0:4 - 0 0
 2:6 - 1 0
 4:5 1 0 -
 4:6 1 - 0
 5:7 1 - 1
 6:7 1 1 -

 0:4 - 0 0
 2:6 - 1 0
 0:2 0 - 0
 4:6 1 - 0
 5:7 1 - 1
 6:7 1 1 -
 4:5 1 0 -

Gruppe 0:
 0:4 - 0 0
Gruppe 1:
 2:6 - 1 0

Gruppe 0:
 0:2 0 - 0
Gruppe 1:
 4:6 1 - 0
Gruppe 2:
 5:6 1 - 1

Gruppe 1:
 4:5 1 0 -
Gruppe 2:
 6:7 1 1 -

Gruppe 0:
 0:4 - 0 0
Gruppe 1:
 2:6 - 1 0

0:4:2:6 - - 0

Gruppe 0:
 0:2 0 - 0
Gruppe 1:
 4:6 1 - 0
Gruppe 2:
 5:7 1 - 1

0:2:4:6 - - 0
4:6:5:7 1 - -

Gruppe 1:
 4:5 1 0 -
Gruppe 2:
 6:7 1 1 -

4:5:6:7 1 - -

0:2:4:6 - - 0
4:6:5:7 1 - -

 y <= (not x0) or x2;


library ieee;
use ieee.std_logic_1164.all;

(C) David Vajda
Sun Mar  9 15:37:13 2025
3 Network - TTL - Disjunktive Normalform

 x2 x1 x0 y
 0 0 0 0 1
 1 0 0 1 0
 2 0 1 0 1
 3 0 1 1 0
 4 1 0 0 1
 5 1 0 1 1
 6 1 1 0 1
 7 1 1 1 1

 x2 x1 x0 y
Gruppe 0:
 0 0 0 0 1
Gruppe 1:
 2 0 1 0 1
 4 1 0 0 1
Gruppe 2:
 5 1 0 1 1
 6 1 1 0 1
Gruppe 3:
 7 1 1 1 1

 0:2 0 - 0
 0:4 - 0 0
 2:6 - 1 0
 4:5 1 0 -
 4:6 1 - 0

Ich habe die und Gatter mit zwei variablen oder einer Verknüpfung im min Term an das andere ic nach vorne getan, das Ergebnis bleibt


;; das ergibt schlicht und ergreifend keinen sinn
                y   <=  (x1) or (x2 and x0);

;; das ergibt schlicht und ergreifend keinen sinn


(C) David Vajda
Sat Mar  8 08:56:42 2025
3 Network - TTL - Disjunktive Normalform

 x2 x1 x0 y
 0 0 0 0 0
 1 0 0 1 0
 2 0 1 0 1
 3 0 1 1 1
 4 1 0 0 0
 5 1 0 1 1
 6 1 1 0 1
 7 1 1 1 1

 x2 x1 x0 y
 2 0 1 0 1
 3 0 1 1 1
 5 1 0 1 1
 6 1 1 0 1
 7 1 1 1 1

  x2 x1 x0 y
Gruppe 1:
 2 0 1 0 1
Gruppe 2:
 3 0 1 1 1
 5 1 0 1 1
 6 1 1 0 1
Gruppe 3:
 7 1 1 1 1

2:3         0   1   -
2:6         -   1   0
3:7         -   1   1
5:7         1   -   1
6:7         1   1   -

2:3         0   1   -
6:7         1   1   -
2:6         -   1   0
3:7         -   1   1

2:3:6:7         -   1   -

5:7         1   -   1
                1   -   1

                y   <=  (x1) or (x2 and x0);
Nein, so seltsames es klingt ist es nicht die Spannungsquelle das lässt mir ehrlich gesagt, weniger Ruhe, weil ich weiß, dass ich die Schaltung inzwischen genau richtig aufgebaut hatte. Ich weiß, dass inzwischen keine Fehler drin sind. Das lässt mir persönlich keine Ruhe. Mehr wäre die Spannungsquelle lieber gewesen, weil das bedeutet im Endeeffekt, wenn sie gewesen wären und es gibt eben bei USB Adapter und sowas manchmal das hab ich schon rausgefunden, dass die da irgendwie manchmal irgendwas abschalten oder anschalten oder so Ich lese mir persönlich deswegen keine Ruhe weil dann muss ich mit dem Gefühl eben das eines dieser Kabel ab und zu mal ein Fehler haben könnte, hatte ich bisher nicht das finde ich nicht so toll aber gut jetzt ist es so aber es funktioniert 100 pro. Ich zeige noch mal einen besseren Film

Keine Sorge ich weiß, dass ich die, dass ich solche derartigen Scheidung 100 pro richtig aufbauen kann, weil ich diese Schaltung schon ungefähr 100 mal gemacht hab und dabei eigentlich nie Fehler drin sind. Zweitens ich weiß sie diese Schaltung deswegen funktionieren und ich mach da keine Fehler rein das weiß ich ganz genau und ein bei so vielen sagen wir mal. Bei so vielen Anfängen muss es einmal so gewesen sein, dass kein Fehler drin gewesen sein wird . Bei diesen ganzen Dingen kann sein, dass ab und zu dann Fehler drin gewesen ist aber es muss ab und zu richtig gewesen sein und die ausgerechnet jetzt, wo ich die Kabel ausgetauscht hab, geht die Sache wieder. Das ist natürlich beschissen. Ehrlich gesagt aber gut so ist es halt was soll man machendann ist halt so gut

Kann ja sein„ das ist die Spannungsquelle war. Ich zeige jetzt erst mal den Film wechsel dann die Spannungsquelle. Wenn es bei der anderen Spannungsquelle bei dem USB Adapter sonst den das selbe Zeichen von sich gibt, wie es nicht sollte, dann weiß ich, woran es lag dann wird es gewesen sein vorher zeige ich den Film. Nein, so seltsames es klingt ist es nicht die Spannungsquelle das lässt mir ehrlich gesagt, weniger Ruhe, weil ich weiß, dass ich die Schaltung inzwischen genau richtig aufgebaut hatte. Ich weiß, dass inzwischen keine Fehler drin sind. Das lässt mir persönlich keine Ruhe. Mehr wäre die Spannungsquelle lieber gewesen, weil das bedeutet im Endeeffekt, wenn sie gewesen wären und es gibt eben bei USB Adapter und sowas manchmal das hab ich schon rausgefunden, dass die da irgendwie manchmal irgendwas abschalten oder anschalten oder so Ich lese mir persönlich deswegen keine Ruhe weil dann muss ich mit dem Gefühl eben das eines dieser Kabel ab und zu mal ein Fehler haben könnte, hatte ich bisher nicht das finde ich nicht so toll aber gut jetzt ist es so aber es funktioniert 100 pro. Ich zeige noch mal einen besseren Film Keine Sorge ich weiß, dass ich die, dass ich solche derartigen Scheidung 100 pro richtig aufbauen kann, weil ich diese Schaltung schon ungefähr 100 mal gemacht hab und dabei eigentlich nie Fehler drin sind. Zweitens ich weiß sie diese Schaltung deswegen funktionieren und ich mach da keine Fehler rein das weiß ich ganz genau und ein bei so vielen sagen wir mal. Bei so vielen Anfängen muss es einmal so gewesen sein, dass kein Fehler drin gewesen sein wird . Bei diesen ganzen Dingen kann sein, dass ab und zu dann Fehler drin gewesen ist aber es muss ab und zu richtig gewesen sein und die ausgerechnet jetzt, wo ich die Kabel ausgetauscht hab, geht die Sache wieder. Das ist natürlich beschissen. Ehrlich gesagt aber gut so ist es halt was soll man machendann ist halt so gut

Ich zeige den Film und ich weiß nicht warum das so ne schwierige Geburt war. Ich versteh es wirklich nicht. Es waren jetzt circa sagen wir mal 10 Stunden wofür ich sonst 20 Minuten brauche maximal ich würde sagen 10 Minuten. Es ist ein bisschen beschissen, ja und trotzdem es war ne schwierige Geburt 10 Stunden jetzt tut's ich weiß nicht woran lag ehrlich gesagt

Komischerweise geht's jetzt jetzt tut die Schaltung komischerweise geht's sie ausgerechnet jetzt und zwar sehr sauber ohne dass es nur ein bisschen flimmert oder ein bisschen unsauber ist. Jetzt geht sie zu 100 pro komisch ehrlich gesagt jetzt geht sie zu 100 pro komisch

Jetzt hab ich ein realen Fehler gefunden. In diesem Fall hab ich vergessen Ground aber das war vorhin nicht und VCC von den TTL Gattern zu verbinden. Das war vorher allerdings nicht das hab ich vorher gemacht. Jetzt kann's gar nicht gehen in diesem Sinne trotzdem das war vorher nicht und wenn's jetzt geht, dann ist es trotzdem komisch weil dann liegt es trotzdem an den Kabel

In dem Fall habe ich bei der jetzigen Schaltung wirklichen Fehler gefunden da lag ein Eingang auf dem Ausgang

Ich denke aber letztenendes wird keine Beschädigung stattfinden, wenn zum Beispiel bei dem neuen Programmiergerät mal der Controller selber also der Prozessor der atmega8 falschrum drin steckt das war zum Beispiel gestern einmal der Fall dann sollte der nicht gleich kaputt gehen. Sagen wir mal so ich mein das ist in dem Fall der Standard, dass man falsch steckt. Außerdem nehme ich ja keine besonderen Pins ab, sondern nur die Spannung, rot und schwarz VCC und GND.

Meines Problem hatte ich gestern so ungefähr auch ich hab nur die Schwierigkeit ich hab jetzt nirgendswo 5 V und wenig das Programmiergerät nehmen. Ich möchte das nicht in Ordnung Weise sozusagen durch Beschädigungen beschmutzen. Ich denke nicht dass es kaputt geht aber so ne kleine Beschmutzung in Sachen Gebrauch möchte ich auch nicht daran haben. Ich denke aber letztenendes wird keine Beschädigung stattfinden, wenn zum Beispiel bei dem neuen Programmiergerät mal der Controller selber also der Prozessor der atmega8 falschrum drin steckt das war zum Beispiel gestern einmal der Fall dann sollte der nicht gleich kaputt gehen. Sagen wir mal so ich mein das ist in dem Fall der Standard, dass man falsch steckt. Außerdem nehme ich ja keine besonderen Pins ab, sondern nur die Spannung, rot und schwarz VCC und GND.

Zeige immer noch komisches Verhalten und ich kann mir nicht erklären, woran es liegt. Vielleicht wechsel ich wirklich mal die Spannungsquelle.

So, ich mach jetzt die Schaltung weiter

Die ic sind sicher nicht kaputt davon bin ich ausgegangen ich hab ich glaub nicht dass die schnell kaputt gehen. Erstens Mal glaube ich dass die nicht kaputt gehen. Zweitens also ich glaub nicht dass die kaputt gehen. Ich hab's nur zur Sicherheit gemacht ist glaube ich ist das was am wenigstens kaputt geht 20 hab's auch gestern schon mal ausgetauscht. Das hatte den selben Effekt. Jetzt tausche ich alle Kabel einzeln aus.

Na gut. Im ersten Schritt tausche ich ich alle Kabel aus. Und auf Nummer ganz sicher zu gehen, tausche ich jedes einzelne ic erst mal aus.

Mir jetzt wiederum aufgefallen ist. Am Ende geht die Schaltung ja dreimal auf eins und wenn sie dreimal auf eins ist, ist sie dreimal auf null. Ich vermute dass es doch kein Kabel ist was kaputt ist aber was mir aufgefallen ist sie geht ja dreimal auf eins und dann ist es immer anliegt heben und jetzt geht sie dreimal auf nullund das verrückte an der Sache ist obwohl gar keine Verbindung besteht, weil die weißen Kabel nicht drin sind, geht die Lampe die LED. Trotzdem geht die LED trotzdem einmal auf Null, wenn alle Null sind. Es kann sein, dass dadurch den Takt beim Prozessor irgendwas komisches drin ist. Weil obwohl jetzt gar keine Verbindung besteht, geht sie einmal auf null, wenn alle Null sind

In dem Fall habe ich was ganz anderes falsch gemacht. In dem Fall habe ich was ganz anderes falsch gemacht. Ich hab die weißen Kabel gar nicht eingebaut. Jetzt muss ich natürlich noch mal. Es konnte jetzt nicht funktionieren, weil die weißen Kabel nicht drin sind und anstatt die auszutauschen, meldet sich jedes Kabel eins und durch. Also ich messe jetzt erst mal die weißen Kabel durch. In dem Fall hat sie nicht eingebaut.

Die interessant ist, dass die Schaltung wieder anders tut, nachdem ich sie neu gemacht hab wieder anders tut. Wie gesagt ich hab sie beim hab sie immer aufgebaut. Das tat immer sofort jetzt zeigt sie wieder ein anderes Verhalten. Gerade waren sie bis auf wenn alle an waren alle aus jetzt sind sie alle an. Ich kann mir vorstellen, dass du ein defektes Kabel dabei ist. Ich tauscht jetzt Kabel für Kabel aus. Tauscht jetzt Kabel für Kabel aus wenn geht mit den alten. Ich hab noch alte in der Kiste und sobald das Problem gelöst ist, nämlich alle Kabel, die ich ausgetauscht habe, wo sich sozusagen das Verhalten nicht geändert hat und mäßig nach, ob die Kabel in Ordnung sind.

Aber das kann sein, dass einige dieser Kabel defekt sind, die ich reinstecken. Das kann sein man denke mal nach habe jetzt am Netzteil selber gemessen und ich bin in letzter Zeit in ich bin irgendwie fasziniert wie schnell die gerade alle abbrechen beim bei der letzten Schaltung sind sie nicht so schnell abgebrochen auch irgendwann aber jetzt brechen sie sehr schnell ab. Hab ich das Gefühl und ich hab jetzt am Netzteil selber gemessen da sind 5 V drauf. Jetzt hab ich ja am Anfang etwas gezeigt die Fotos wo das gelbe Kabel drin steckte aber abgebrochen war und jetzt denke mal mal nach zwar hat die Schaltung danach das selbe Verhalten gezeigt. Umgekehrt mit diesem kaputten Ding hätte die Schaltung nicht funktionieren können d.h. hin oder her wenn das Ding kaputt ist, dann funktioniert die Schaltung nicht und ich habe das darauf geschlossen, dass eines der Kabel nicht funktioniert und jetzt ist interessante jetzt hab ich am Netzteil selber gemessen da war Spannung drauf aber ich habe eins der Kabel zur Stromversorgung gemessen und siehe da, da ist kein Strom drauf und es wird es wohl sein.

Nein, es ist es Saft drauf

Kann sein, dass es in dem Fall wirklich das USB Ladegerät ist. Weil mit denen hab ich immer wieder Schwierigkeiten und beim letzten Mal hab ich ja immer deswegen genau deswegen dass stk500 verwendetes klingt absurd. Aber die haben da teilweise so ein Takt drin zum Beispiel was die an-und Abschaltung betrifft. Es kann durchaus sein und ich hab das Gefühl, jetzt ist gar kein Strom drauf und ich hatte eben aus dem Grund immer des VCC und GND von dem stk 500 verwendet und wenn man die Schaltung auf meiner Homepage anschaut, mit dem die noch gemacht hat in den meisten fällen immer prima funktioniert. Einmal hab ich ein bisschen länger gesucht aber das war dann maximal ne halbe Stunde. Ich guck mal ob das Netzteil ändere, ob sich das dann ändert und jetzt messe ich erst mal nach

Ich probiere jetzt die Schaltung weiter zu machen

Jetzt geht es noch schlechter kurze Raucher Pause und allgemeine Pause

Mach die Schaltung einfach noch mal komplett neu

ich probiere es jetzt mal anders herum, ich habe die tabelle noch mal aufgestellt aber so wie sie in der schaltung erscheint, bei 1, 1, 1, y <= 1, ich probiere den unterschied festzustellen um zu gucken, ob es einen erkennbaren unterschied in der schaltung gibt

Habe den Fehler noch immer nicht gefunden. Ich gehe ne rauchen mal gucken wo er ist.

So wie gesagt, die Ausgangs LED geht an, wenn alle Eingänge 111 sind das ist falsch das bringe ich nachher in Ordnung. Zwar da unten das so ne Unruhe, als ich eine rauchen gegangen bin. Jetzt muss ich erst mal noch mal kurz hier oben abschalten und dann probiere ich die Schaltung in Ordnung zu bringen.

Irgendwas hat sich geändert der letzte Fehler ,. War, aufgrund der Spannungsversorgung was jetzt nicht stimmt ich weiß es nicht. Keine Ahnung das ist jetzt ein bisschen flimmert am Anfang. D.h. es ist auf null flimmert es manchmal. Das ist mir jetzt egal. Ich lass die Schaltung jetzt ruhen, dass es gestern lang gedauert hat. Hängt nicht mit dem Board zusammen nur weil das Ding vertauscht waren und jetzt ist gerade irgendwas. Ich mach jetzt nicht weiter ich kümmere mich drum. Ich mach jetzt die anderen Übung

Wie sie sehen ein kleiner Fehler drin, weil zunächst mal man genau hin guckt, . Es geht fünf mal der Ausgang geht auf eins. Aber hier ist also in der in der Wahrheit Tafel ist er vier mal auf eins und hier ist er fünf mal auf eins nämlich wenn alle Eingangs variablen X2, X1, X0 gleich eins sind, ist auf eins und Mama in die Wahrheit Tafel guckt, ist bei diesem bei diesen Eingangs variablen wo alle auf eins sind, muss auch Null sein. Also hier ist ein kleiner Fehler drin den suche ich schnell.

Ist es fertig gebastelt ich hoffe ich hoffe es stimmt und wenn's stimmt ich geh jetzt noch mal zwischendrin eine rauchen ich hab soweit zusammengesteckt. Glaub nicht dass da was falsch ist. Mal gucken dann tue ich's an die Spannung dran und dann muss ich natürlich, weil es eben jetzt mit dem Controller läuft es ja zeitlich durch, d.h. ich hab sozusagen keine Standbilder und dann muss ich eben vergleichen, ob bei der Wahrheit Tafel die Eingangs variablen mit der entsprechenden ausgangsvariablen übereinstimmen.

Ich probiere weiter aus den Fehler in der Schaltung zu finden bin gespannt wo der ist und wie lange es dauert den zu finden

also, gut, ich mache weiter raucherpause und wenn ich das gemacht habe, versuche ich die schaltung in ordnung zu bringen und den fehler zu finden

Also der Fehler hält sich hartnäckig

Also die Schaltung will irgendwie dabei bleiben. Die Schaltung, die die Ausgang LED Y geht immer bei variablen am Eingang 2X2X1X0. Auf eins. Ich weiß nicht, woran es liegt. Ich hab alles umgestellt. Ich hab keinen blassen Schimmer, das ist die selbe Formel für VHDL da steht und komischerweise die das also was GTK Wave von sich gegeben hat, ist bei genau der Formel, das weiß ich erwartet haben aber das meine ich hier implementiert zu haben. Kommt was anderes raus. Mal gucken. Ich such weiter. Bin gespannt, wo der Fehler liegt.

Ich mach jetzt folgendes. Ich probier jetzt, die Schaltung von vorher so hin zu stellen. Dass sie 100-prozentig funktioniert. Also ich probier es schon vorher was ich mit TTL Gattern gemacht habe 100 pro richtig zu machen.

Ja, das ist richtig. Ich wollte die Flip Flops jeden Tag in VHDL machen das wollte ich jeden Tag auch in V HD L machen das ist richtig und dann natürlich jetzt neu das Thema Netzwerke also IP table und if config

Ich muss kurz einkaufen und dann kann ich mal gucken, ob sich die Schaltung hinkriegt, dass die LED im richtigen Moment leuchtet dass die LED im richtigen Moment leuchtet wenn ich eingekauft hab ich weiß nicht woran es liegt. Ehrlich gesagt ich hab sie jetzt mehrfach umgestellt, obwohl es eine Kabel draußen war. Das ist immer noch das gleiche passiert. Gucken wir mal ich muss jetzt erst mal einkaufen Und wenn ich das gemacht hab ich bin jetzt übrigens wieder Vegetarier ich bin jetzt wieder Vegetarier dann ja und dann lerne ich auch weiter und dann mache ich noch die anderen Übungen da. Was gibt's noch an Übungen die ich machen muss. Also ich muss die Euler Tour machen. Den Abakus möchte ich was rechnen und handschriftliches rechnen und was muss ich noch machen Was noch irgendwas war's noch mathematisches und dann mal wieder die normalen Aufgaben und irgendwas hab ich da vergessen. Also Schalt Werke habe ich komplexe Schalt Werke hab ich auch. Dann hab ich halt das übliche das mache ich ja Schaltnetz aber irgendwas hab ich vergessen. Ich weiß ich muss für den AVR programmieren da muss ich mal paar Programme immer schreiben. Jetzt hab ich ja grad kein LCD aber ich kann andere schreiben und irgendwas war's noch. Ich weiß nicht wases ist richtig ich muss noch die BA SH mache ich ja jeden Tag aber da war mir grad was eingefallen ist jetzt auch wieder weg. Irgendwas war noch mal gucken wenn ich wieder zu Hause bin was was ich muss mal nachgucken in den Aufgaben, die ich immer gemacht hab was ich in den letzten Zeiten unterlassen hab

Ich mach jetzt nicht weiter Übung, sondern ich lern jetzt auswendig und zwar den Teil mit dem atmega8 und so weiter und keine Übung sondern. Ich, lern es auswendig

Jetzt hab ich das in Ordnung gebracht jetzt tut's immer noch nicht jetzt hab ich das in Ordnung gebracht jetzt tut's immer noch nicht

Mach noch mal alle an den Eingängen raus, also nach dem Inverter. Alle weißen sind es in dem Fall.

Da es nicht stimmt, muss ich gucken wo der Fehler ist wo der Fehler ist und dann gucke ich jetzt noch mal genau hin und dann hoffentlich tut sie gleich

Stimmt trotzdem nicht

Habe den Fehler gefunden ich muss mich selber entschuldigen. Ich habe den Fehler gefunden. Ich muss mich selber entschuldigen. Ich habe einfach keinen gemacht das ist die Wahrheit, sondern ich zeige Ihnen den Fehler. Ich kann sie mit dem Foto beweisen und dann kann ich Ihnen zeigen, dass ich keinen Fehler gemacht hab. Ich kann Ihnen den kann Ihnen das zeigen. Draht, die vorne ist es abgebrochen. Ist es da vorne abgebrochen und deswegen ist die Verbindung nicht da weil nämlich bei dem Inverter bei dem Ausgang von dem N OT das zeige ich Ihnen jetzt

jetzt habe ich das - und jetzt das ganze mit ttl gattern.


-- (C) David Vajda
-- Sat Mar  8 08:56:42 2025
-- 3 Network - TTL - Disjunktive Normalform

library ieee;
use ieee.std_logic_1164.all;

entity quine20250308 is
port (
 x2, x1, x0: in std_logic;
 y: out std_logic
);
end;

architecture behaviour of quine20250308 is
begin
 y <= (not x2 and x1) or
 (x1 and not x0) or
 (x2 and not x1 and x0);
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20250308testbench is
port (
 y: out std_logic
);
end;

architecture behaviour of quine20250308testbench is
 component quine20250308
 port (
 x2, x1, x0: in std_logic;
 y: out std_logic
 );
 end component;
 signal x2, x1, x0: std_logic;
begin
 q: quine20250308 PORT MAP (x2=>x2, x1=>x1, x0=>x0, y=>y);
 x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns;

 x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns;

 x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns;
end;


(C) David Vajda
Sat Mar  8 08:56:42 2025
3 Network - TTL - Disjunktive Normalform

 x2 x1 x0 y
 0 0 0 0 0
 1 0 0 1 0
 2 0 1 0 1
 3 0 1 1 1
 4 1 0 0 0
 5 1 0 1 1
 6 1 1 0 1
 7 1 1 1 0

 x2 x1 x0 y
 2 0 1 0 1
 3 0 1 1 1
 5 1 0 1 1
 6 1 1 0 1

  x2 x1 x0 y
Gruppe 1:
 2 0 1 0 1
Gruppe 2:
 3 0 1 1 1
 5 1 0 1 1
 6 1 1 0 1

2:3 0 1 -
2:6 - 1 0
5 1 0 1

 2 3 5 6
2:3 + +
2:6 + +
5 +

2:3 0 1 -
2:6 - 1 0
5 1 0 1

 y <= (not x2 and x1) or
 (x1 and not x0) or
 (x2 and not x1 and x0);

library ieee;
use ieee.std_logic_1164.all;

entity quine20250308 is
port (
 x2, x1, x0: in std_logic;
 y: out std_logic
);
end;

architecture behaviour of quine20250308 is
begin
 y <= (not x2 and x1) or
 (x1 and not x0) or
 (x2 and not x1 and x0);
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20250308testbench is
port (
 y: out std_logic
);
end;

architecture behaviour of quine20250308testbench is
 component quine20250308
 port (
 x2, x1, x0: in std_logic;
 y: out std_logics
 );
 end component;
 signal x2, x1, x0: std_logic;
begin
 q: quine20250308 PORT MAP (x2=>x2, x1=>x1, x0=>x0, y=>y);


(C) David Vajda
Sat Mar  8 08:56:42 2025
3 Network - TTL - Disjunktive Normalform

 x2 x1 x0 y
 0 0 0 0 0
 1 0 0 1 0
 2 0 1 0 1
 3 0 1 1 1
 4 1 0 0 0
 5 1 0 1 1
 6 1 1 0 1
 7 1 1 1 0

 x2 x1 x0 y
 2 0 1 0 1
 3 0 1 1 1
 5 1 0 1 1
 6 1 1 0 1
so, jetzt das mit dem neu programmierten atmega8 blinken zu meinem reduzierten schaltnetz von vorher.


 # jetzt nehme ich den eben programmierten atmega 8 und lasse die led's blinken.

# ich stelle noch den quelltext vom led blink vor, ebenso von dem lcd ansteuerung hd44780 - alles auf meiner homepage, ich hatte zwei reale stk500 - daneben habe ich rs232 ansteuerung, transmit recieve und tasteninterrupt

root@work:/home/david# avrdude -p m8 -c stk500 -F -P /dev/ttyACM0  -U ttlex20241017.hex

avrdude: AVR device initialized and ready to accept instructions
avrdude: device signature = 0x1e9307 (probably m8)
avrdude: Note: flash memory has been specified, an erase cycle will be performed.
         To disable this feature, specify the -D option.
avrdude: erasing chip
avrdude: reading input file ttlex20241017.hex for flash
         with 74 bytes in 1 section within [0, 0x49]
         using 2 pages and 54 pad bytes
avrdude: writing 74 bytes flash ...

Writing | ################################################## | 100% 0.08 s

avrdude: 74 bytes of flash written
avrdude: verifying flash memory against ttlex20241017.hex

Reading | ################################################## | 100% 0.05 s

avrdude: 74 bytes of flash verified

avrdude done.  Thank you.

root@work:/home/david#
Es hat funktioniert falsch gesetzte Pins

ah, es hat funktioniert, aber das ist bei dem realen stk500 nicht anders, vor den hex code muss ein -U

Es hat funktioniert! 100 pro, jetzt tut es!


root@work:/home/david# avrdude -p m8 -c stk500 -F -P /dev/ttyACM0  -U ttlex20241017.hex

avrdude: AVR device initialized and ready to accept instructions
avrdude: device signature = 0x1e9307 (probably m8)
avrdude: Note: flash memory has been specified, an erase cycle will be performed.
         To disable this feature, specify the -D option.
avrdude: erasing chip
avrdude: reading input file ttlex20241017.hex for flash
         with 74 bytes in 1 section within [0, 0x49]
         using 2 pages and 54 pad bytes
avrdude: writing 74 bytes flash ...

Writing | ################################################## | 100% 0.08 s

avrdude: 74 bytes of flash written
avrdude: verifying flash memory against ttlex20241017.hex

Reading | ################################################## | 100% 0.05 s

avrdude: 74 bytes of flash verified

avrdude done.  Thank you.

root@work:/home/david#

root@work:/home/david# avrdude -p m8 -c stk500 -F -P /dev/ttyACM0  -e ttlex20241017.hex

avrdude: AVR device initialized and ready to accept instructions
avrdude: device signature = 0x1e9307 (probably m8)
avrdude: erasing chip

avrdude done.  Thank you.


root@work:/home/david# avrdude -p m8 -c stk500 -F -P /dev/ttyACM0  ttlex20241017.hex

avrdude: AVR device initialized and ready to accept instructions
avrdude: device signature = 0x1e9307 (probably m8)

avrdude done.  Thank you.

root@work:/home/david#

hey krass, es hat funktioniert!

root@work:/home/david# avrdude -p m8 -c stk500 -F -P /dev/ttyACM0  -e ttlex20241017.hex

avrdude: AVR device initialized and ready to accept instructions
avrdude: device signature = 0x1e9307 (probably m8)
avrdude: erasing chip

avrdude done.  Thank you.

root@work:/home/david#

die pins waren falsch gesetzt!

hey geil, der programmer gibt schon mal ein lebenszeichen von sich

root@work:/home/david# avrdude -p m8 -c stk500 -F -P /dev/ttyACM0  -e ttlex20241017.hex

root@work:/home/david# avrdude -p m8 -c stk500 -F -P /dev/ttyACM0  -e ttlex20241017.hex

avrdude error: command failed
avrdude error: initialization failed, rc=-1
        - double check the connections and try again
        - use -B to set lower ISP clock frequency, e.g. -B 125kHz
avrdude: AVR device initialized and ready to accept instructions
avrdude: device signature = 0x000000 (retrying)
avrdude: device signature = 0x000000 (retrying)
avrdude: device signature = 0x000000
avrdude error: Yikes!  Invalid device signature.
avrdude warning: expected signature for ATmega8 is 1E 93 07

avrdude done.  Thank you.

root@work:/home/david#
nein, das stimmt nicht jp2 ist da nicht beteiligt, der macht manchmal vielleicht probleme Ich muss noch die jumper angucken und es kann sein, dass was falsch ist, bei den Jumpern könnte es sein, dass man gewisse Fehler nicht machen sollte, Entschuldigung das sind keine Jumpers sondern switches, 1. jumper, 2. switches, 2.1. slide Switch, 2.2. Rocker Switch Jetzt probiere ich es aus, avrdude tut mit dem Ding, aber es kann sein ich muss eine Lib einbinden, stk500 kennt avrdude ja

atmega8 aref avcc mikrocontroller.net programmierspannung notwendig https://www.mikrocontroller.net/topic/438858 ...


mosi: blau
miso: gruen
sck: orange
reset: gelb
osz: weiss
https://www.mikrocontroller.net/attachment/35049/ATmega_Pinout.pdf


reset: pin 1, pc6
sck: pin 19, pb5
miso: pin 18, pb4
mosi: pin 17, pb3
osc, weiss ich nicht, es gib tosc1 wo xtal1 ist und tosc2...

http://www.avr-programmer.com/downloads/all-avr/all-avr_installation_de_en.pdf

frage, wo ist: miso, sck, reset, osz, mosi, am atmega8 - schauen wir nach. atmega8 datasheet - oder das hier

https://www.mikrocontroller.net/attachment/35049/ATmega_Pinout.pdf

...

http://www.avr-programmer.com/all-avr/ ....

Image IMG_7834.HEIC

Image IMG_7835.HEIC

Image IMG_7836.HEIC

Image IMG_7837.HEIC

Image IMG_7838.HEIC

Image IMG_7839.HEIC

Image IMG_7840.HEIC

Image IMG_7841.HEIC

Image IMG_7842.HEIC

Image IMG_7843.HEIC

Image IMG_7844.HEIC

Image IMG_7845.HEIC

Image IMG_7846.HEIC

Image IMG_7847.HEIC

Image IMG_7848.HEIC

Image IMG_7849.HEIC

Image IMG_7850.HEIC

Image IMG_7851.HEIC

Image IMG_7852.HEIC

Image IMG_7853.HEIC

Image IMG_7854.HEIC

Image IMG_7855.HEIC

Image IMG_7856.HEIC

Image IMG_7857.HEIC

Image IMG_7858.HEIC

Image IMG_7859.HEIC

Image IMG_7860.HEIC

Image IMG_7861.HEIC

Image IMG_7862.HEIC

Image IMG_7863.HEIC

Image IMG_7864.HEIC

Image IMG_7865.HEIC

Image IMG_7866.HEIC

Image IMG_7867.HEIC

Image IMG_7868.HEIC