Die Suche ergab 1486 Treffer

von davidvajda.de
Mo Apr 29, 2024 11:58 am
Forum: informatik
Thema: Das neue Auswendig lernen und die neuen Übungen - 0003
Antworten: 36
Zugriffe: 4049

Re: Das neue Auswendig lernen und die neuen Übungen - 0003

// ich schreibe gerade das void breadth_first_search (int component [1024], int r) { int pred [1024]; pred [r] = r; component [r] = r; } Ich schreibe jetzt erst die Routine Neighborhood - sie liefert - von Knoten 0 an, was wieder als Parameter übergeben wird, aus der Adjezenzmatrix, das nächste Ele...