Die Suche ergab 1488 Treffer

von davidvajda.de
Mi Apr 17, 2024 7:32 pm
Forum: informatik
Thema: Das neue Auswendig lernen und die neuen Übungen - 0003
Antworten: 38
Zugriffe: 9455

Re: Das neue Auswendig lernen und die neuen Übungen - 0003

https://www.ituenix.de/nextcloud/data/dave/files/Screenshot_20240417_192957.png library ieee; use ieee.std_logic_1164.all; entity quine20240417 is port ( x3, x2, x1, x0: in std_logic; y: out std_logic ); end; architecture behaviour of quine20240417 is begin y <= (not x3 and not x2 and x1) or (x3 an...