MySQL, Bash - Übungen 0001

Benutzeravatar
davidvajda.de
Site Admin
Beiträge: 1488
Registriert: Di Jul 18, 2023 8:36 pm
Wohnort: D-72072, Tübingen
Kontaktdaten:

Re: MySQL, Bash - Übungen 0001

Beitrag von davidvajda.de »

Bild

Code: Alles auswählen

<?php
session_start ();
?>

<form method="POST" action="./form20240226.php">
<input type="text" name="2024022601"></input>
<input type="submit">
</form>

<?php
echo session_id () . "<br>\n";

setcookie ("2024022602", "Hallo, ich bin Cookie 1", time () + 3600);

echo htmlentities ($_POST ["2024022601"]) . "<br>\n";
echo htmlentities ($_COOKIE ["2024022602"]) . "<br>\n";
echo htmlentities ($_COOKIE ["2024022603"]) . "<br>\n";

session_destroy ();
?>

Code: Alles auswählen

POST http://localhost/mysql20240217/20240226/form20240226.php HTTP/1.1
host: localhost
Cookie: 2024022603=Hallo, ich bin Cookie 2
Content-Length: 33
Content-Type: application/x-www-form-urlencoded

2024022601=Hallo ich bin Inhalt 1

Code: Alles auswählen

Trying ::1...
Connected to localhost.
Escape character is '^]'.
HTTP/1.1 200 OK
Date: Mon, 26 Feb 2024 14:58:13 GMT
Server: Apache/2.4.57 (Debian)
Set-Cookie: PHPSESSID=1cjt31i1h840f66hjh9h37qgch; path=/
Expires: Thu, 19 Nov 1981 08:52:00 GMT
Cache-Control: no-store, no-cache, must-revalidate
Pragma: no-cache
Set-Cookie: 2024022602=Hallo%2C%20ich%20bin%20Cookie%201; expires=Mon, 26 Feb 2024 15:58:13 GMT; Max-Age=3600
Vary: Accept-Encoding
Content-Length: 218
Content-Type: text/html; charset=UTF-8


<form method="POST" action="./form20240226.php">
<input type="text" name="2024022601"></input>
<input type="submit">
</form>

1cjt31i1h840f66hjh9h37qgch<br>
Hallo ich bin Inhalt 1<br>
<br>
Hallo, ich bin Cookie 2<br>

Code: Alles auswählen

<?php
session_start ();

include ("/home/david/mysqldata.php");

$db = new PDO ("mysql: host=localhost", $MYSQL_USER, $MYSQL_PASSWORD);

$sql = "CREATE DATABASE mysql20240226" . session_id ();
$db->query ($sql);


$sql = "USE mysql20240226" . session_id ();
$db->query ($sql);


$sql = "CREATE TABLE a (x INTEGER);";
$db->query ($sql);

$sql = "CREATE TABLE b (x INTEGER);";
$db->query ($sql);

$sql = "CREATE TABLE c (x INTEGER);";
$db->query ($sql);

for ($i = 0;  $i < 24;  $i++) {
    $sql = "INSERT INTO a (x) VALUES (\"" . rand () % 32 . "\")";
    $db->query ($sql);
    $sql = "INSERT INTO b (x) VALUES (\"" . rand () % 64 . "\")";
    $db->query ($sql);
    $sql = "INSERT INTO c (x) VALUES (\"" . rand () % 28 . "\")";
    $db->query ($sql);
}

$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";
$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x
                INTERSECT
            SELECT x FROM c
    ) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x
                UNION
            SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x
    ) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x
                INTERSECT
            SELECT x FROM b
    ) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x
                UNION
            SELECT x FROM (SELECT x FROM c INTERSECT SELECT x FROM b) x
    ) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x
                INTERSECT
            SELECT x FROM a
    ) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM a) x
                UNION
            SELECT x FROM (SELECT x FROM c INTERSECT SELECT x FROM a) x
    ) x;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "<br>\n";


$sql = "DROP DATABASE mysql20240226" . session_id ();
$db->query ($sql);

session_destroy ();
?>

Code: Alles auswählen

4, 30, 23, 27, 6, 25, 1, 19, 11, 13, 10, 8, 16, 7, 31, 35, 56, 55, 21, 57, 52, 22, 51, 44, 53, 32, 20, 49, 34, 47, 18, 41, <br>
4, 30, 23, 27, 6, 25, 1, 19, 11, 13, 10, 8, 16, 7, 31, 2, 3, 14, 20, 18, 9, 0, 15, 5, <br>
35, 56, 1, 4, 8, 55, 21, 57, 52, 22, 51, 44, 53, 32, 10, 20, 49, 34, 47, 18, 41, 2, 3, 14, 9, 0, 27, 13, 23, 15, 16, 5, <br>
4, 1, 10, 8, <br>
23, 27, 1, 13, 8, 16, <br>
1, 8, 20, 18, <br>
23, 27, 1, 13, 8, 16, 20, 18, <br>
23, 27, 1, 13, 8, 16, 20, 18, <br>
4, 1, 10, 8, 20, 18, <br>
4, 1, 10, 8, 20, 18, <br>
1, 4, 8, 10, 27, 13, 23, 16, <br>
1, 4, 8, 10, 27, 13, 23, 16, <br>

Code: Alles auswählen

<?php
session_start ();

include ("/home/david/mysqldata.php");

$db = new PDO ("mysql: host=localhost", $MYSQL_USER, $MYSQL_PASSWORD);

$sql = "CREATE DATABASE mysql20240226b" . session_id ();
$db->query ($sql);

$sql = "USE mysql20240226b" . session_id ();
$db->query ($sql);


$sql = "CREATE TABLE a (x1 INTEGER, x2 INTEGER);";
$db->query ($sql);

$sql = "CREATE TABLE b (y1 INTEGER, y2 INTEGER);";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (0, 0);";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (0, 1);";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (1, 0);";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (1, 1);";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (2, 7);";
$db->query ($sql);

$sql = "INSERT INTO b (y1, y2) VALUES (0, 1);";
$db->query ($sql);

$sql = "INSERT INTO b (y1, y2) VALUES (1, 0);";
$db->query ($sql);

$sql = "INSERT INTO b (y1, y2) VALUES (2, 7);";
$db->query ($sql);

$sql = "SELECT x1, x2 FROM a;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . "<br>\n";
echo "<br>\n";

$sql = "SELECT y1, y2 FROM b;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . "<br>\n";
echo "<br>\n";

$sql = "SELECT x1, x2, y1, y2 FROM a INNER JOIN b;";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . ", " . $row [2] . ", " . $row [3] . "<br>\n";
echo "<br>\n";


$sql = "DROP DATABASE mysql20240226b" . session_id ();
$db->query ($sql);

session_destroy ();
?>

Code: Alles auswählen

0, 0<br>
0, 1<br>
1, 0<br>
1, 1<br>
2, 7<br>
<br>
0, 1<br>
1, 0<br>
2, 7<br>
<br>
0, 0, 0, 1<br>
0, 0, 1, 0<br>
0, 0, 2, 7<br>
0, 1, 0, 1<br>
0, 1, 1, 0<br>
0, 1, 2, 7<br>
1, 0, 0, 1<br>
1, 0, 1, 0<br>
1, 0, 2, 7<br>
1, 1, 0, 1<br>
1, 1, 1, 0<br>
1, 1, 2, 7<br>
2, 7, 0, 1<br>
2, 7, 1, 0<br>
2, 7, 2, 7<br>
<br>
So, ich mache jetzt noch die üblichen Bash Übungen. Dann mache ich noch schriftliche Multiplikation und Division. Danach gehe ich noch mal auf die Platanenallee. Und danach mache ich die üblichen Übungen zu

1.) VHDL und Quine Mc Cluskey
2.) Zuständen
3.) ASM-Diagramm
4.) IEEE754
5.) Aber auch Assembler Programme. Ich schreibe in MIPS 32 Assembler

Code: Alles auswählen

#!/bin/bash

echo "Hallo Welt"

Code: Alles auswählen

Hallo Welt

Code: Alles auswählen

#!/bin/bash

i=0
while [ $i -lt 10 ]
do
    echo "Hallo zum $(($i+1))."
    i=$(($i+1))
done

Code: Alles auswählen

Hallo zum 1.
Hallo zum 2.
Hallo zum 3.
Hallo zum 4.
Hallo zum 5.
Hallo zum 6.
Hallo zum 7.
Hallo zum 8.
Hallo zum 9.
Hallo zum 10.

Code: Alles auswählen

#!/bin/bash

if [[ "$1" == "David" && "$2" == "Vajda" ]]
then
    echo "Das bin ich"
elif [ "$1" == "David Vajda" ]
then
    echo "Das bin ich"
elif [ "$1" == "David" ]
then
    echo "Das bin wahrscheinlich ich"
elif [ "$1" == "Vajda" ]
then
    echo "Das bin wahrscheinlich ich"
else
    echo "Das bin ich nicht"
fi

Code: Alles auswählen

#!/bin/bash

/bin/bash ifthenelse.sh "David" "Vajda" >> ifthenelse.out
/bin/bash ifthenelse.sh "David Vajda" >> ifthenelse.out
/bin/bash ifthenelse.sh "David" >> ifthenelse.out
/bin/bash ifthenelse.sh "Vajda" >> ifthenelse.out
/bin/bash ifthenelse.sh "Max Mustermann" >> ifthenelse.out

Code: Alles auswählen

Das bin ich
Das bin ich
Das bin wahrscheinlich ich
Das bin wahrscheinlich ich
Das bin ich nicht

Code: Alles auswählen

#!/bin/bash

a=(Hallo dies ist ein Array)
a+=(Und das eine Erweiterung)

i=0
while [ $i -lt 9 ]
do
    echo "${a[$i]}"
    i=$(($i+1))
done

for s in "${a[@]}"
do
    echo "$s"
done

Code: Alles auswählen

Hallo
dies
ist
ein
Array
Und
das
eine
Erweiterung
Hallo
dies
ist
ein
Array
Und
das
eine
Erweiterung

Code: Alles auswählen

#!/bin/bash

l=$(ls)

for s in $l
do
    echo "$s"
done

Code: Alles auswählen

array.out
array.sh
cmd.out
cmd.sh
hello.out
hello.sh
ifthenelsecmd.sh
ifthenelse.out
ifthenelse.sh
while.out
while.sh
So, jetzt kommt das von Hand rechnen. Einen Moment, danach kurz auf die Allee.

Bild

Code: Alles auswählen

1.) Rechne die Zahl in binaer Darstellung  in eine Dezimale Darstellung um
1011111100011011b 48923d
2.) Rechne die Zahl in dezimal darstellung in eine Binaerdarstellung um
42107 1010010001111011
3.) Addiere die drei Zahlen schriftlich
            58823
+            5867
+           49359
-----------------
           114049
4.) Subtrahiere die letzten drei Zahlen schriftlich von der ersten schriftlich
            21416
-             271
-           10576
-            4657
-----------------
             5912
5.) Rechne die Zahl ins zweier komplement um, mit 8 Bit - und subtrahiere diese zahl von der ersten und rechne das Ergebnis nach dezimal
-87 -103 = -190
10101001 10011001 = 01000010
6.) Multipliziere die zwei Zahlen schriftlich
52971*61334 = 3248923314
7.) Dividiere die zwei Zahlen schriftlich
876/16705 = 0
8.) Errechne x Logarithmisch mit dem Taschenrechner
29737^x = 530920564
Bild

Code: Alles auswählen

 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    1
 5 0 1 0 1    0
 6 0 1 1 0    1
 7 0 1 1 1    0
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    0
11 1 0 1 1    0
12 1 1 0 0    0
13 1 1 0 1    0
14 1 1 1 0    1
15 1 1 1 1    0


 0 0 0 0 0    1
 1 0 0 0 1    1
 2 0 0 1 0    1
 4 0 1 0 0    1
 6 0 1 1 0    1
 8 1 0 0 0    1
14 1 1 1 0    1


Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
 4 0 1 0 0    1
 8 1 0 0 0    1
Gruppe 2:
 6 0 1 1 0    1
Gruppe 3:
14 1 1 1 0    1

0:1         0 0 0 -
0:2         0 0 - 0
0:4         0 - 0 0
0:8         - 0 0 0
2:6         0 - 1 0
4:6         0 1 - 0
6:14        - 1 1 0


0:1         0 0 0 -
0:2         0 0 - 0
4:6         0 1 - 0
0:4         0 - 0 0
2:6         0 - 1 0
0:8         - 0 0 0
6:14        - 1 1 0



Gruppe 0:
0:1         0 0 0 -
Gruppe 0:
0:2         0 0 - 0
Gruppe 1:
4:6         0 1 - 0

Gruppe 0:
0:4         0 - 0 0
Gruppe 1:
2:6         0 - 1 0

Gruppe 0:
0:8         - 0 0 0
Gruppe 2:
6:14        - 1 1 0


Gruppe 0:
0:1                     0 0 0 -
Gruppe 0:
0:2         0 0 - 0
Gruppe 1:
4:6         0 1 - 0

0:2:4:6                 0 - - 0

Gruppe 0:
0:4         0 - 0 0
Gruppe 1:
2:6         0 - 1 0

0:4:2:6                 0 - - 0

Gruppe 0:
0:8                     - 0 0 0
Gruppe 2:
6:14        -            1 1 0



0:1                     0 0 0 -
0:2:4:6                 0 - - 0
0:4:2:6                 0 - - 0
0:8                     - 0 0 0
6:14        -            1 1 0



0:1                     0 0 0 -
0:2:4:6                 0 - - 0
0:8                     - 0 0 0
6:14        -            1 1 0

        y <= (not x3 and not x2 and not x1) or
                (not x3 and not x0) or
                (not x2 and not x1 and not x0) or
                (x2 and x1 and not x0);
        y <= not (
                    (x3 or x2 or x1) and
                    (x3 or x0) and
                    (x2 or x1 or x0) and
                    (not x2 or not x1 or x0)
                );

library ieee;
use ieee.std_logic_1164.all;

entity quine20240226 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture behaviour of quine20240226 is
begin
        y <= (not x3 and not x2 and not x1) or
                (not x3 and not x0) or
                (not x2 and not x1 and not x0) or
                (x2 and x1 and not x0);
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20240226testbench is
port (
    y: out std_logic
);
end;

architecture behaviour of quine20240226testbench is
    component quine20240226
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    q: quine20240226 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);

Code: Alles auswählen

library ieee;
use ieee.std_logic_1164.all;

entity quine20240226 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture behaviour of quine20240226 is
begin
        y <= (not x3 and not x2 and not x1) or
                (not x3 and not x0) or
                (not x2 and not x1 and not x0) or
                (x2 and x1 and not x0);
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20240226testbench is
port (
    y: out std_logic
);
end;

architecture behaviour of quine20240226testbench is
    component quine20240226
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    q: quine20240226 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);

    x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns;

    x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '1' after 100 ns, '1' after 110 ns, '0' after 120 ns, '0' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '0' after 100 ns, '0' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x3 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '0' after 40 ns, '0' after 50 ns, '0' after 60 ns, '0' after 70 ns, '1' after 80 ns, '1' after 90 ns, '1' after 100 ns, '1' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;
end;
Jetzt eine IEEE-754-Umrechung - dann nachher zu Hause weiter.

Code: Alles auswählen

 12.78125

 12/2 = 6       Rest 0
 6/2 = 3        Rest 0
 3/2 = 1        Rest 1
 1/2 = 1        Rest 1


0.78125 * 2 = 1.56250           1
0.56250 * 2 = 1.12500           1
0.12500 * 2 = 0.25              0
0.25 * 2 = 0.5                  0
0.5 * 2 = 1.0                   1

0.78125
0.78125
-------
1.56250

0.56250
0.56250
-------
1.12500

1100,11001


1100,11001 = 1,10011001 * 2^3

normalisiert: 10011001

127 + 3 = 130

130 / 2 =       65          Rest 0
65 / 2 =        32          Rest 1
32 / 2 =        16          Rest 0
16 / 2          8           Rest 0
8 / 2 =         4           Rest 0
4 / 2 =         2           Rest 0
2 / 2 =         1           Rest 0
1 / 2 =         0           Rest 1

[0][10000010][10011001]

0100.0001.0100.1100.1

0100.0001.0100.1100.1000.0000.0000

0x414C800
Nur, um es nebenbei zu sagen, kann ich mit LaTeX schöne Zustände machen. Sage ich für Facebook

Bild


Sie müssen mein Programm jetzt erst verstehen, ich hatte das ja vorgestellt. Aber sie wissen ja, wie die Faker so sind, kaum hat man das auf Facebook verschwinden lassen, tun sie so als hätten sie es nicht gehört

Also, der Witz ist - eigentlich tut das Programm folgendes, das generiert zum beispiel so eine Datei. VCS

Code: Alles auswählen

Zustand,Eingabe,Ausgabe,Folgezustand
1,0,0,3
1,1,1,4
2,0,1,1
2,1,0,1
3,0,1,4
3,1,1,3
4,0,1,2
4,1,1,2
Der Unterschied ist halt - das Programm kann auch direkt PDF generieren. Es kann neue Daten erzeugen, sie ausgeben, oder einlesen. Von einer Tabelle.

Der Unterschied zwischen VCS und PDF ist, oder TeX. Das macht ja ein *.tex document. dass das Tex nicht verarbeitet werden kann, nicht gut. Die tabelle halt in VCS

Das könnte auch in eine Datenbank

Egal. VCS ist normal, Tabelle. da sind die Zustände drin. normalerweise erst das generieren, dann daraus ein Tex Ding. Dann mit LaTeX übersetzen. Das geht so:

Code: Alles auswählen

david@laptop-peaq:~$ ./automat15 
Zustand,Eingabe,Ausgabe,Folgezustand
1,0,0,1
1,1,0,2
2,0,1,2
2,1,0,4
3,0,1,3
3,1,1,1
4,0,0,4
4,1,1,3
david@laptop-peaq:~$ ./automat15 > automat20240226.vcs
david@laptop-peaq:~$ cat automat20240226.vcs | ./automat15 -s -t >> automat20240226.tex
david@laptop-peaq:~$ pdflatex automat20240226.tex 
So, OK. Und der Witz ist - dass sie dann das PDF haben. Und da ist jetzt alles automatisch generiert. Das heisst, der Graph von den zuständen, dann die Zustandstabelle aber auch. Und dann auch noch die Gleichungen

Das Ziel ist ja die Übung, das heisst, man macht nur den Graph. Dafür manipuliert man das TeX ding. Das ist nur zur Kontrolle. Man nimmt halt alles aus dem Graph raus. Speichert es ist einer zweiten Datei. Und guckt den Graph an und macht die Gleichung die Tabelle selber

Und für alle Faker. Ja, da war ein Fehler im Programm. der hat bei den Gleichungen, die NOT vertauscht. Egal. Der Fehler ist schnell behoben, das war die zeit als ich auf die Prüfung lernte.

gut. Dann fange ich jetzt an. Mit der Übung. Selber.

Bild



Bild

https://www.ituenix.de/nextcloud/data/dave/files/Documents/david4/2024-02-26 ... 240226.tex

https://www.ituenix.de/nextcloud/data/dave/files/Documents/david4/2024-02-26 ... 240226.pdf

https://www.ituenix.de/nextcloud/data/dave/files/Documents/david4/2024-02-26 ... 240226.vcs

Bild

Bild

Bild

Bild
Antworten