Das neue Auswendig lernen und die neuen Übungen - 0003

Benutzeravatar
davidvajda.de
Site Admin
Beiträge: 1487
Registriert: Di Jul 18, 2023 8:36 pm
Wohnort: D-72072, Tübingen
Kontaktdaten:

Re: Das neue Auswendig lernen und die neuen Übungen - 0003

Beitrag von davidvajda.de »

Bild

Code: Alles auswählen

<!-- Irgendwie will die $_POST [] Variable gerade nicht -->
<?php
session_start ();
 ?>

<form type="POST" action="./form20240419.php">
<input type="text" name="form20240419a"></input>
<input type="submit"></input>
</form>

 <?php
setcookie ("form20240419b", "Hallo, ich bin das erste Cookie", time () + 3600);

echo session_id () . "<br>\n";

echo htmlentities ($_POST   ["form20240419a"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240419b"]) . "<br>\n";
echo htmlentities ($_COOKIE ["form20240419c"]) . "<br>\n";

session_destroy ();
 ?>

Code: Alles auswählen

POST http://localhost/mysql20240217/20240419/form20240419.php HTTP/1.1
host: localhost
Cookie: form20240419b=Hallo, ich bin das zweite Cookie
Content-Length: 37
Content-Type: application/x-www-form-urlencoded

form20240419a=Hallo ich bin das Datum

Code: Alles auswählen

Trying ::1...
Connected to localhost.
Escape character is '^]'.
HTTP/1.1 200 OK
Date: Fri, 19 Apr 2024 10:06:44 GMT
Server: Apache/2.4.57 (Debian)
Set-Cookie: PHPSESSID=on7nehb43jsdkfml8hvo979ll0; path=/
Expires: Thu, 19 Nov 1981 08:52:00 GMT
Cache-Control: no-store, no-cache, must-revalidate
Pragma: no-cache
Set-Cookie: form20240419b=Hallo%2C%20ich%20bin%20das%20erste%20Cookie; expires=Fri, 19 Apr 2024 11:06:44 GMT; Max-Age=3600
Vary: Accept-Encoding
Content-Length: 239
Content-Type: text/html; charset=UTF-8

 
<form type="POST" action="./form20240419.php">
<input type="text" name="form20240419a"></input>
<input type="submit"></input>
</form>

 on7nehb43jsdkfml8hvo979ll0<br>
Hallo ich bin das Datum<br>
Hallo, ich bin das zweite Cookie<br>
<br>

Code: Alles auswählen

<?php
session_start ();
include ("/home/david/mysqldata.php");

$db = new PDO ("mysql: host=localhost", $MYSQL_USER, $MYSQL_PASSWORD);

$sql = "CREATE DATABASE mysql20240419" . session_id () . "; ";
$db->query ($sql);

$sql = "USE mysql20240419" . session_id () . "; ";
$db->query ($sql);

$sql = "CREATE TABLE a (x1 INTEGER, x2 INTEGER); CREATE TABLE b (y1 INTEGER, y2 INTEGER); ";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (0, 0); ";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (0, 1); ";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (1, 0); ";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (1, 1); ";
$db->query ($sql);

$sql = "INSERT INTO a (x1, x2) VALUES (2, 7); ";
$db->query ($sql);

$sql = "INSERT INTO b (y1, y2) VALUES (0, 1); ";
$db->query ($sql);

$sql = "INSERT INTO b (y1, y2) VALUES (0, 1); ";
$db->query ($sql);

$sql = "INSERT INTO b (y1, y2) VALUES (1, 0); ";
$db->query ($sql);

$sql = "INSERT INTO b (y1, y2) VALUES (2, 7); ";
$db->query ($sql);

$sql = "SELECT x1, x2 FROM a; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . "; ";
echo "<br>\n";

$sql = "SELECT y1, y2 FROM b; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . "; ";
echo "<br>\n";

$sql = "SELECT x1, x2, y1, y2 FROM a INNER JOIN b ON a.x1 = b.y1; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", " . $row [1] . ", " . $row [2] . ", " . $row [3] . "; ";
echo "<br>\n";

$sql = "DROP DATABASE mysql20240419" . session_id () . "; ";
$db->query ($sql);

session_destroy ();
?>

Code: Alles auswählen

0, 0; 0, 1; 1, 0; 1, 1; 2, 7; <br>
0, 1; 0, 1; 1, 0; 2, 7; <br>
0, 0, 0, 1; 0, 0, 0, 1; 0, 1, 0, 1; 0, 1, 0, 1; 1, 0, 1, 0; 1, 1, 1, 0; 2, 7, 2, 7; <br>

Code: Alles auswählen

<?php
session_start ();

include ("/home/david/mysqldata.php");

$db = new PDO ("mysql: host=localhost", $MYSQL_USER, $MYSQL_PASSWORD);

$sql = "CREATE DATABASE q20240419" . session_id () . "; ";
$db->query ($sql);

$sql = "USE q20240419" . session_id () . "; ";
$db->query ($sql);

$sql = "CREATE TABLE a (x INTEGER); CREATE TABLE b (x INTEGER); CREATE TABLE c (x INTEGER); ";
$db->query ($sql);

for ($i = 0;  $i < 24;  $i++) {
    $sql = "INSERT INTO a (x) VALUES (" . rand () % 32 . "); ";
    $sql .= "INSERT INTO b (x) VALUES (" . rand () % 64 . "); ";
    $sql .= "INSERT INTO c (x) VALUES (" . rand () % 128 . "); ";
    $db->query ($sql);
}

$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a UNION SELECT x FROM b) x
                INTERSECT
            SELECT x FROM c
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM c) x
                UNION
            SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a UNION SELECT x FROM c) x
                INTERSECT
            SELECT x FROM b
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x
                UNION
            SELECT x FROM (SELECT x FROM b INTERSECT SELECT x FROM c) x
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM b UNION SELECT x FROM c) x
                INTERSECT
            SELECT x FROM a
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "SELECT x FROM (
            SELECT x FROM (SELECT x FROM a INTERSECT SELECT x FROM b) x
                UNION
            SELECT x FROM (SELECT x FROM c INTERSECT SELECT x FROM a) x
    ) x ORDER BY x; ";
$stmt = $db->query ($sql);
while ($row = $stmt -> fetch ())
    echo $row [0] . ", ";
echo "; ";

$sql = "DROP DATABASE q20240419" . session_id () . "; ";
$db->query ($sql);

session_destroy ();
?>

Code: Alles auswählen

9, 16, 28, 22, 0, 13, 3, 11, 12, 17, 8, 25, 26, 27, 15, 2, 14, 50, 42, 5, 10, 20, 4, 44, 30, 18, 1, 60, 29, 63, 46, 40, 21, 34, 23, ; 9, 16, 28, 22, 0, 13, 3, 11, 12, 17, 8, 25, 26, 27, 15, 2, 14, 101, 56, 41, 67, 46, 4, 29, 1, 93, 112, 65, 42, 36, 80, 23, 114, 89, 110, 94, ; 50, 42, 5, 10, 20, 4, 44, 30, 18, 1, 0, 60, 29, 11, 2, 63, 46, 40, 21, 34, 27, 23, 101, 56, 41, 67, 93, 112, 65, 36, 80, 8, 114, 14, 3, 89, 110, 94, ; 0, 11, 27, 2, ; 3, 8, 14, ; 42, 4, 1, 29, 46, 23, ; 1, 3, 4, 8, 14, 23, 29, 42, 46, ; 1, 3, 4, 8, 14, 23, 29, 42, 46, ; 0, 1, 2, 4, 11, 23, 27, 29, 42, 46, ; 0, 1, 2, 4, 11, 23, 27, 29, 42, 46, ; 0, 2, 3, 8, 11, 14, 27, ; 0, 2, 3, 8, 11, 14, 27, ;

Code: Alles auswählen

#!/bin/bash

if [[ "$1" == "David" && "$2" == "Vajda" ]]
then
    echo "Das bin ich"
elif [[ "$1" == "David Vajda" && -z "$2" ]]
then
    echo "Das bin ich"
elif [[ "$1" == "David" && -z "$2" ]]
then
    echo "Das koennte ich sein"
elif [[ "$2" == "Vajda" && -z "$2" ]]
then
    echo "Das koennte ich sein"
elif [ -n "$2" ]
then
    echo "Das bin ich nicht"
else
    i=0
    while [ $i -lt 10 ]
    do
        echo "Hallo zum $(($i+1))."
        i=$(($i+1))
    done

    a=(Hallo, das ist ein Array)
    a+=(Und das die Fortsetzung)

    i=0
    while [ $i -lt 9 ]
    do
        echo "${a[$i]}"
        i=$(($i+1))
    done

    for s in "${a[@]}"
    do
        echo "$s"
    done

    l=$(ls)
    for s in $l
    do
        echo "$s"
    done
fi

Code: Alles auswählen

#!/bin/bash

if [[ "$1" == "David" && "$2" == "Vajda" && -z "$3" ]]
then
    echo "Das bin ich"
elif [[ "$1" == "David Vajda" && -z "$2" ]]
then
    echo "Das bin ich"
elif [[ "$1" == "David" && -z  "$2" ]]
then
    echo "Das koennte ich sein"
elif [[ "$1" == "Vajda" && -z "$2" ]]
then
    echo "Das koennte ich sein"
elif [ -n "$2" ]
then
    echo "Das bin ich nicht"
else
    i=0
    while [ $i -lt 10 ]
    do
        echo "Hallo zum $(($i+1))."
        i=$(($i+1))
    done

    a=(Hallo ich bin David Vajda)
    a+=(Und diesen Satz habe ich gesagt)

    i=0

    while [ $i -lt 11 ]
    do
        echo "${a[$i]}"
        i=$(($i+1))
    done

    for s in "${a[@]}"
    do
        echo "$s"
    done

    l=$(ls)
    for s in $l
    do
        echo "$s"
    done
fi

Code: Alles auswählen

#!/bin/bash

/bin/bash bash20240419.sh "David" "Vajda"
/bin/bash bash20240419.sh "David Vajda"
/bin/bash bash20240419.sh "David"
/bin/bash bash20240419.sh "Vajda"
/bin/bash bash20240419.sh "Max Mustermann"
/bin/bash bash20920419.sh

Code: Alles auswählen

#!/bin/bash

if [[ "$1" == "David" && "$2" == "Vajda" && -z "$3" ]]
then
    echo "Das bin ich"
elif [[ "$1" == "David Vajda" && -z "$2" ]]
then
    echo "Das bin ich"
elif [[ "$1" == "David" && -z  "$2" ]]
then
    echo "Das koennte ich sein"
elif [[ "$1" == "Vajda" && -z "$2" ]]
then
    echo "Das koennte ich sein"
elif [ -n "$2" ]
then
    echo "Das bin ich nicht"
else
    i=0
    while [ $i -lt 10 ]
    do
        echo "Hallo zum $(($i+1))."
        i=$(($i+1))
    done

    a=(Hallo ich bin David Vajda)
    a+=(Und diesen Satz habe ich gesagt)

    i=0

    while [ $i -lt 11 ]
    do
        echo "${a[$i]}"
        i=$(($i+1))
    done

    for s in "${a[@]}"
    do
        echo "$s"
    done

    l=$(ls)
    for s in $l
    do
        echo "$s"
    done
fi

Code: Alles auswählen

#!/bin/bash

/bin/bash bash20240419.sh "David" "Vajda"
/bin/bash bash20240419.sh "David Vajda"
/bin/bash bash20240419.sh "David"
/bin/bash bash20240419.sh "Vajda"
/bin/bash bash20240419.sh "Max Mustermann"
/bin/bash bash20240419.sh

Code: Alles auswählen

Das bin ich
Das bin ich
Das koennte ich sein
Das koennte ich sein
Hallo zum 1.
Hallo zum 2.
Hallo zum 3.
Hallo zum 4.
Hallo zum 5.
Hallo zum 6.
Hallo zum 7.
Hallo zum 8.
Hallo zum 9.
Hallo zum 10.
Hallo
ich
bin
David
Vajda
Und
diesen
Satz
habe
ich
gesagt
Hallo
ich
bin
David
Vajda
Und
diesen
Satz
habe
ich
gesagt
435899368_1909247672858224_3350755409360955247_n.jpg
436382100_25155971550684924_1096422984899398839_n.jpg
436411508_25117903904491689_7903038619813751120_n.jpg
436440417_25125336627081750_5701952718187325856_n.jpg
437152281_1909244259525232_335543314414381643_n.jpg
437360993_1909087499540908_7005213253562161532_n.jpg
438885838_1909247576191567_4866744226356302471_n.jpg
addressdecodertestbench.c
alllinks.sh
a.out
asm15
asm16
asm20240415
asm20240415.asm
asm20240415.o
auswendig20240418a.txt
automat15
automat15.c
automat20240418-1.jpg
automat20240418.aux
automat20240418.csv
automat20240418.log
automat20240418.pdf
automat20240418.tex
automat20240418.txt
bash20240418all.sh
bash20240418.out
bash20240418.sh
bash20240419all.out
bash20240419all.sh
bash20240419alö.out
bash20240419.out
bash20240419.sh
Bilder
bin20240415.txt
bin20240417.txt
bin20240418.txt
binary2
binary2.c
binomial20240414a.c
complex20240415-1.jpg
complex20240415.aux
complex20240415.log
complex20240415.pdf
complex20240415.tex
complex20240418-1.jpg
complex20240418.aux
complex20240418.log
complex20240418.pdf
complex20240418.tex
complexoperationswerk20240418.jpg
complexoperationswerk20240418.odg
complexsteuerwerk20240418.jpg
complexsteuerwerk20240418.odg
David
Pru_fung2024-1.jpg
David
Pru_fung2024.pdf
deepsearch1.c
deepsearch2
deepsearch2.c
doc
Dokumente
dos-inst
Downloads
float.c
fsmprogs
generatetestbench2
generatetestbench3
generatetestbench4
generatetestbench5
gnu-hello
ieee754aufgabe
ieee754aufgabe2.c
ieee754aufgabe.c
ieee754aufgabe.o
inst
mail
Makefile
Makefile20240417
Mars
matlab
mips32singlecycle2.vhdl
mips32singlecycle.vhdl
Musik
mydaemontestd
mysqldata.php
nasm20240418
nasm20240418.asm
nasm20240418.o
node_modules
NVIDIA_CUDA_Programming_Guide_1.0.pdf
Öffentlich
out.txt
password
quine
quine20240415.txt
quine20240415.vhdl
quine20240417.txt
quine20240417.vhdl
quine20240418.txt
quine20240418.vhdl
replace.sh
Schreibtisch
Screenshot_20240415_073630.png
Screenshot_20240415_214118.png
Screenshot_20240416_153528.png
Screenshot_20240416_212917.png
Screenshot_20240417_192957.png
Screenshot_20240418_080211.png
Screenshot_20240418_084837.png
Screenshot_20240418_192433.png
Screenshot_20240418_193109.png
Screenshot_20240419_120042.png
state20240415.jpg
state20240415.odg
state20240415.txt
state3
svg
tagebuch.txt
test.png.vcd
Using_Inline_PTX_Assembly_In_CUDA.pdf
Videos
VirtualBox
VMs
Vorlagen
wave.ghw
work-obj93.cf
Hallo zum 1.
Hallo zum 2.
Hallo zum 3.
Hallo zum 4.
Hallo zum 5.
Hallo zum 6.
Hallo zum 7.
Hallo zum 8.
Hallo zum 9.
Hallo zum 10.
Hallo
ich
bin
David
Vajda
Und
diesen
Satz
habe
ich
gesagt
Hallo
ich
bin
David
Vajda
Und
diesen
Satz
habe
ich
gesagt
435899368_1909247672858224_3350755409360955247_n.jpg
436382100_25155971550684924_1096422984899398839_n.jpg
436411508_25117903904491689_7903038619813751120_n.jpg
436440417_25125336627081750_5701952718187325856_n.jpg
437152281_1909244259525232_335543314414381643_n.jpg
437360993_1909087499540908_7005213253562161532_n.jpg
438885838_1909247576191567_4866744226356302471_n.jpg
addressdecodertestbench.c
alllinks.sh
a.out
asm15
asm16
asm20240415
asm20240415.asm
asm20240415.o
auswendig20240418a.txt
automat15
automat15.c
automat20240418-1.jpg
automat20240418.aux
automat20240418.csv
automat20240418.log
automat20240418.pdf
automat20240418.tex
automat20240418.txt
bash20240418all.sh
bash20240418.out
bash20240418.sh
bash20240419all.out
bash20240419all.sh
bash20240419alö.out
bash20240419.out
bash20240419.sh
Bilder
bin20240415.txt
bin20240417.txt
bin20240418.txt
binary2
binary2.c
binomial20240414a.c
complex20240415-1.jpg
complex20240415.aux
complex20240415.log
complex20240415.pdf
complex20240415.tex
complex20240418-1.jpg
complex20240418.aux
complex20240418.log
complex20240418.pdf
complex20240418.tex
complexoperationswerk20240418.jpg
complexoperationswerk20240418.odg
complexsteuerwerk20240418.jpg
complexsteuerwerk20240418.odg
David
Pru_fung2024-1.jpg
David
Pru_fung2024.pdf
deepsearch1.c
deepsearch2
deepsearch2.c
doc
Dokumente
dos-inst
Downloads
float.c
fsmprogs
generatetestbench2
generatetestbench3
generatetestbench4
generatetestbench5
gnu-hello
ieee754aufgabe
ieee754aufgabe2.c
ieee754aufgabe.c
ieee754aufgabe.o
inst
mail
Makefile
Makefile20240417
Mars
matlab
mips32singlecycle2.vhdl
mips32singlecycle.vhdl
Musik
mydaemontestd
mysqldata.php
nasm20240418
nasm20240418.asm
nasm20240418.o
node_modules
NVIDIA_CUDA_Programming_Guide_1.0.pdf
Öffentlich
out.txt
password
quine
quine20240415.txt
quine20240415.vhdl
quine20240417.txt
quine20240417.vhdl
quine20240418.txt
quine20240418.vhdl
replace.sh
Schreibtisch
Screenshot_20240415_073630.png
Screenshot_20240415_214118.png
Screenshot_20240416_153528.png
Screenshot_20240416_212917.png
Screenshot_20240417_192957.png
Screenshot_20240418_080211.png
Screenshot_20240418_084837.png
Screenshot_20240418_192433.png
Screenshot_20240418_193109.png
Screenshot_20240419_120042.png
state20240415.jpg
state20240415.odg
state20240415.txt
state3
svg
tagebuch.txt
test.png.vcd
Using_Inline_PTX_Assembly_In_CUDA.pdf
Videos
VirtualBox
VMs
Vorlagen
wave.ghw
work-obj93.cf

Code: Alles auswählen

0 0 0 0 0    0
 1 0 0 0 1    1
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    1
 5 0 1 0 1    1
 6 0 1 1 0    0
 7 0 1 1 1    0
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    0
11 1 0 1 1    1
12 1 1 0 0    0
13 1 1 0 1    0
14 1 1 1 0    1
15 1 1 1 1    0

 1 0 0 0 1    1
 2 0 0 1 0    1
 4 0 1 0 0    1
 5 0 1 0 1    1
 8 1 0 0 0    1
11 1 0 1 1    1
14 1 1 1 0    1

Gruppe 1:
 1 0 0 0 1    1
 2 0 0 1 0    1
 4 0 1 0 0    1
 8 1 0 0 0    1
Gruppe 2:
 5 0 1 0 1    1
Gruppe 3:
11 1 0 1 1    1
14 1 1 1 0    1

1:5         0 - 0 1
4:5         0 1 0 -
2           0 0 1 0
8           1 0 0 0
11          1 0 1 1
14          1 1 1 0

            1   2   4   5   8   11  14
1:5         *           *
4:5                 *   *
2               *
8                           *
11                              *
14                                  *

1:5         0 - 0 1
4:5         0 1 0 -
2           0 0 1 0
8           1 0 0 0
11          1 0 1 1
14          1 1 1 0

    y <=    (not x3 and not x1 and x0) or
            (not x3 and x2 and not x1) or
            (not x3 and not x2 and x1 and not x0) or
            (x3 and not x2 and not x1 and not x0) or
            (x3 and not x2 and x1 and x0) or
            (x3 and x2 and x1 and not x0);

library ieee;
use ieee.std_logic_1164.all;

entity quine20240419 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture behaviour of quine20240419 is
begin
    y <=    (not x3 and not x1 and x0) or
            (not x3 and x2 and not x1) or
            (not x3 and not x2 and x1 and not x0) or
            (x3 and not x2 and not x1 and not x0) or
            (x3 and not x2 and x1 and x0) or
            (x3 and x2 and x1 and not x0);
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20240419testbench is
port (
    y: out std_logic
);
end;

architecture behaviour of quine20240419testbench is
    component quine20240419
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    q: quine20240419 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);

Code: Alles auswählen

library ieee;
use ieee.std_logic_1164.all;

entity quine20240419 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture behaviour of quine20240419 is
begin
    y <=    (not x3 and not x1 and x0) or
            (not x3 and x2 and not x1) or
            (not x3 and not x2 and x1 and not x0) or
            (x3 and not x2 and not x1 and not x0) or
            (x3 and not x2 and x1 and x0) or
            (x3 and x2 and x1 and not x0);
end;

library ieee;
use ieee.std_logic_1164.all;

entity quine20240419testbench is
port (
    y: out std_logic
);
end;

architecture behaviour of quine20240419testbench is
    component quine20240419
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    q: quine20240419 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);

    x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns;

    x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '1' after 100 ns, '1' after 110 ns, '0' after 120 ns, '0' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '0' after 100 ns, '0' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x3 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '0' after 40 ns, '0' after 50 ns, '0' after 60 ns, '0' after 70 ns, '1' after 80 ns, '1' after 90 ns, '1' after 100 ns, '1' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;
end;
Bild


Bild

Bild

Bild

https://www.ituenix.de/nextcloud/data/d ... 240419.pdf

https://www.ituenix.de/nextcloud/data/d ... 240419.tex

https://www.ituenix.de/nextcloud/data/d ... 240419.odg
Antworten