OK, let's beginn

Benutzeravatar
yun7x
Site Admin
Beiträge: 1562
Registriert: Di Jul 18, 2023 8:36 pm
Wohnort: D-72072, Tübingen
Kontaktdaten:

Re: OK, let's beginn

Beitrag von yun7x »

Jetzt können wir gerade so weiter machen, aber ich mache ja jeden Tag ein bisschen MIPS. Jetzt brauchen wir ein Array von Arrays in VHDL. Das muss ich erst nachschauen. Weil, wenn wir 32 Register je 32 bit haben, sprechen wir die nicht an 'a', 'b' und so weiter. sondern eben nummeriert

Das ist ein Teil für Morgen
Antworten