Re: Aufgaben und Übungen,

	b a x	b a y
0	0 0 0	0 1 1
1	0 0 1	0 0 0
2	0 1 0	1 1 0
3	0 1 1	0 0 0
4	1 0 0	1 1 1
5	1 0 1	1 0 1
6	1 1 0	0 0 1
7	1 1 1	1 0 1


	b a x	b
0	0 0 0	0
1	0 0 1	0
2	0 1 0	1
3	0 1 1	0
4	1 0 0	1
5	1 0 1	1
6	1 1 0	0
7	1 1 1	1

	b a x	a
0	0 0 0	1
1	0 0 1	0
2	0 1 0	1
3	0 1 1	0
4	1 0 0	1
5	1 0 1	0
6	1 1 0	0
7	1 1 1	0

	b a x	y
0	0 0 0	1
1	0 0 1	0
2	0 1 0	0
3	0 1 1	0
4	1 0 0	1
5	1 0 1	1
6	1 1 0	1
7	1 1 1	1



	b a x	b
2	0 1 0	1
4	1 0 0	1
5	1 0 1	1
7	1 1 1	1

	b a x	a
0	0 0 0	1
2	0 1 0	1
4	1 0 0	1

	b a x	y
0	0 0 0	1
4	1 0 0	1
5	1 0 1	1
6	1 1 0	1
7	1 1 1	1



	b a x	b
Gruppe 1:
2	0 1 0	1
4	1 0 0	1
Gruppe 2:
5	1 0 1	1
Gruppe 3:
7	1 1 1	1

2		0 1 0
4:5		1 0 -
5:7		1 - 1

b <= (not b and a and not x) or
		(b and not a) or
		(b and x)

	b a x	a
Gruppe 0:
0	0 0 0	1
Gruppe 1:
2	0 1 0	1
4	1 0 0	1

0:2		0 - 0
0:4		- 0 0

a <= (not b and not x) or
		(not a and not x)

	b a x	y
Gruppe 0:
0	0 0 0	1
Gruppe 1:
4	1 0 0	1
Gruppe 2:
5	1 0 1	1
6	1 1 0	1
Gruppe 3:
7	1 1 1	1

0:4		- 0 0
1:5		1 0 -
4:6		1 - 0
5:7		1 - 1
6:7		1 1 -


Gruppe 0:
0:4		- 0 0
Gruppe 1:
1:5		1 0 -
Gruppe 2:
6:7		1 1 -
Gruppe 1:
4:6		1 - 0
Gruppe 2:
5:7		1 - 1

1:5:6:7		1 - -
4:6:5:7		1 - -

0:4		- 0 0

y <= (b or (not a and not x))

b <= (not b and a and not x) or
		(b and not a) or
		(b and x)
a <= (not b and not x) or
		(not a and not x)
y <= (b or (not a and not x))

entity meinausgangsschaltnetz is
port
(
	b, a, x: in bit;
	y: out bit
);
end;

entity meinuebergangsschaltnetz is
port
(
	b, a: inout bit;
	x: in bit
);
end;

architecture verhalten of meinausgangsschaltnetz is
begin
	y <= (b or (not a and not x))
end;

architecture verhalten of meinuebergangsschaltnetz is
begin
	b <= (not b and a and not x) or
		(b and not a) or
		(b and x)
	a <= (not b and not x) or
		(not a and not x)
end;