Re: Aufgaben und Übungen,

 0 0 0 0 0    1
 1 0 0 0 1    0
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    1
 5 0 1 0 1    0
 6 0 1 1 0    1
 7 0 1 1 1    0
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    0
12 1 1 0 0    0
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1


 0 0 0 0 0    1
 2 0 0 1 0    1
 4 0 1 0 0    1
 6 0 1 1 0    1
 8 1 0 0 0    1
10 1 0 1 0    1
15 1 1 1 1    1


Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 2 0 0 1 0    1
 4 0 1 0 0    1
 8 1 0 0 0    1
Gruppe 2:
 6 0 1 1 0    1
10 1 0 1 0    1
Gruppe 4:
15 1 1 1 1    1


Gruppe 0:
 0 0 0 0 0    1
Gruppe 1:
 2 0 0 1 0    1
 4 0 1 0 0    1
 8 1 0 0 0    1
Gruppe 2:
 6 0 1 1 0    1
10 1 0 1 0    1
Gruppe 4:
15 1 1 1 1    1

0:2     0 0 - 0
0:4     0 - 0 0
0:8     - 0 0 0
2:6     0 - 1 0
2:10    - 0 1 0
4:6     0 1 - 0
8:10    1 0 - 0
15      1 1 1 1


0:2     0 0 - 0
4:6     0 1 - 0
8:10    1 0 - 0
0:4     0 - 0 0
2:6     0 - 1 0
0:8     - 0 0 0
2:10    - 0 1 0
15      1 1 1 1


Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
4:6     0 1 - 0
8:10    1 0 - 0

Gruppe 0:
0:4     0 - 0 0
Gruppe 1:
2:6     0 - 1 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0


15      1 1 1 1



Gruppe 0:
0:2     0 0 - 0
Gruppe 1:
4:6     0 1 - 0
8:10    1 0 - 0

0:2:4:6     0 - - 0
0:2:8:10    - 0 - 0

Gruppe 0:
0:4     0 - 0 0
Gruppe 1:
2:6     0 - 1 0

0:2:2:6     0 - - 0

Gruppe 0:
0:8     - 0 0 0
Gruppe 1:
2:10    - 0 1 0

0:8:2:10        - 0 - 0


15      1 1 1 1


0:2:4:6     0 - - 0
0:2:8:10    - 0 - 0
0:2:2:6     0 - - 0
0:8:2:10    - 0 - 0
15          1 1 1 1


0:2:4:6     0 - - 0
0:2:8:10    - 0 - 0
15          1 1 1 1

    y <= (not x3 and not x0) or
            (not x2 and not x0) or
            (x3 and x2 and x1 and x0);
    y <= not (
            (x3 or x0) and
            (x2 or x0) and
            (not x3 or not x2 or not x1 or not x0)
        );
library ieee;
use ieee.std_logic_1164.all;


entity meinschaltnetz0048 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture verhalten of meinschaltnetz0048 is
begin
        y <= (not x3 and not x0) or
            (not x2 and not x0) or
            (x3 and x2 and x1 and x0);
end;

library ieee;
use ieee.std_logic_1164.all;


entity meinetestbench0048 is
port (
    y: out std_logic
);
end;

architecture verhalten of meinetestbench0048 is
    component meinschaltnetz0048
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    sn: meinschaltnetz0048 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);


    x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns;

    x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '1' after 100 ns, '1' after 110 ns, '0' after 120 ns, '0' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '0' after 100 ns, '0' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x3 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '0' after 40 ns, '0' after 50 ns, '0' after 60 ns, '0' after 70 ns, '1' after 80 ns, '1' after 90 ns, '1' after 100 ns, '1' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

end;

Image Screenshot_20231214_175234

 0 0 0 0 0    1
 1 0 0 0 1    0
 2 0 0 1 0    1
 3 0 0 1 1    0
 4 0 1 0 0    1
 5 0 1 0 1    0
 6 0 1 1 0    1
 7 0 1 1 1    0
 8 1 0 0 0    1
 9 1 0 0 1    0
10 1 0 1 0    1
11 1 0 1 1    0
12 1 1 0 0    0
13 1 1 0 1    0
14 1 1 1 0    0
15 1 1 1 1    1

Image Screenshot_20231214_175234

library ieee;
use ieee.std_logic_1164.all;


entity meinschaltnetz0048 is
port (
    x3, x2, x1, x0: in std_logic;
    y: out std_logic
);
end;

architecture verhalten of meinschaltnetz0048 is
begin
        y <= (not x3 and not x0) or
            (not x2 and not x0) or
            (x3 and x2 and x1 and x0);
end;

library ieee;
use ieee.std_logic_1164.all;


entity meinetestbench0048 is
port (
    y: out std_logic
);
end;

architecture verhalten of meinetestbench0048 is
    component meinschaltnetz0048
    port (
        x3, x2, x1, x0: in std_logic;
        y: out std_logic
    );
    end component;
    signal x3, x2, x1, x0: std_logic;
begin
    sn: meinschaltnetz0048 PORT MAP (x3=>x3, x2=>x2, x1=>x1, x0=>x0, y=>y);


    x0 <= '0' after 0 ns, '1' after 10 ns, '0' after 20 ns, '1' after 30 ns, '0' after 40 ns, '1' after 50 ns, '0' after 60 ns, '1' after 70 ns, '0' after 80 ns, '1' after 90 ns, '0' after 100 ns, '1' after 110 ns, '0' after 120 ns, '1' after 130 ns, '0' after 140 ns, '1' after 150 ns;

    x1 <= '0' after 0 ns, '0' after 10 ns, '1' after 20 ns, '1' after 30 ns, '0' after 40 ns, '0' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '1' after 100 ns, '1' after 110 ns, '0' after 120 ns, '0' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x2 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '1' after 40 ns, '1' after 50 ns, '1' after 60 ns, '1' after 70 ns, '0' after 80 ns, '0' after 90 ns, '0' after 100 ns, '0' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

    x3 <= '0' after 0 ns, '0' after 10 ns, '0' after 20 ns, '0' after 30 ns, '0' after 40 ns, '0' after 50 ns, '0' after 60 ns, '0' after 70 ns, '1' after 80 ns, '1' after 90 ns, '1' after 100 ns, '1' after 110 ns, '1' after 120 ns, '1' after 130 ns, '1' after 140 ns, '1' after 150 ns;

end;

Image Screenshot_20231214_175234

cut:
	tail -n 50 quine0048.txt > quine0048.vhdl
compile:
	ghdl -a quine0048.vhdl
sim:
	ghdl -r meinetestbench0048 --wave=wave0048.ghw
	gtkwave wave0048.ghw